[優(yōu)秀畢業(yè)設(shè)計]基于ATmega8的PWM雙閉環(huán)直流調(diào)速設(shè)計論文(含開題報告)_第1頁
[優(yōu)秀畢業(yè)設(shè)計]基于ATmega8的PWM雙閉環(huán)直流調(diào)速設(shè)計論文(含開題報告)_第2頁
[優(yōu)秀畢業(yè)設(shè)計]基于ATmega8的PWM雙閉環(huán)直流調(diào)速設(shè)計論文(含開題報告)_第3頁
[優(yōu)秀畢業(yè)設(shè)計]基于ATmega8的PWM雙閉環(huán)直流調(diào)速設(shè)計論文(含開題報告)_第4頁
[優(yōu)秀畢業(yè)設(shè)計]基于ATmega8的PWM雙閉環(huán)直流調(diào)速設(shè)計論文(含開題報告)_第5頁
已閱讀5頁,還剩42頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、本論文主要是對雙閉環(huán)pwm直流調(diào)速系統(tǒng)的研究,實現(xiàn)對電動機的數(shù)字化控 制。在設(shè)計屮,對pwm直流調(diào)速的原理、雙閉環(huán)直流調(diào)速原理和特點進(jìn)行了全面 闡述。為實現(xiàn)系統(tǒng)的微機數(shù)字化控制,采用了 atniega8作為整個控制系統(tǒng)的核心 部分,配以顯示、馭動、測屋等模塊,實現(xiàn)對電動機轉(zhuǎn)速參數(shù)的顯示和測量。硬 件組成包括atmegas單片微機、電流檢測模塊、轉(zhuǎn)速檢測模塊、保護(hù)模塊、鍵盤 模塊、顯示模塊、直流電機驅(qū)動模塊等組成。在設(shè)計中,采用pwm調(diào)速方式,通 過改變pwm的占空比從而改變電動機的電樞電壓,為了提高控制系統(tǒng)的性能和可 靠性,設(shè)計中,對屯流環(huán)和轉(zhuǎn)速環(huán)采用pid調(diào)節(jié)器。在軟件實現(xiàn)中,本系統(tǒng)采用 增

2、量式pid控制算法來改變pwm的占空比,在硬件結(jié)構(gòu)上采用了集成電路模塊, 簡化了硬件電路,提高了系統(tǒng)的穩(wěn)定性和可靠性,使整個系統(tǒng)的性能得到提高。 實現(xiàn)了直流電動機的實時數(shù)字pwm控制,具有控制方便、組態(tài)簡單和靈活性大等 優(yōu)點。關(guān)鍵詞:atmegas單片機;直流電機;pwm調(diào)速;雙閉環(huán);pidabstractthis paper is mainly to the double loop dc speed control system of study pwm, to achieve the digital control of dc motor. in the design, the princ

3、iple of the pwm dc motor speed control, the principle and characteristics of double closed loop dc speed control are analyzed comprehensively expounded for the realization of digital control computer system, using the atmega8, as the core of the whole control system, together with the display, drive

4、, measurement modules, to achieve the motor speed parameter display and measurement. hardware includes the atmega8 single chip microprocessor, the current detection module, speed detection module, protect module, keyboard module, the display module, the driver module of dc motor and other components

5、. in the design, using pwm control mode, through changing the pwm duty cycle to change the motor armature improve the control performance and reliability of the system, the design of current loop and rotational speed, using pid regulator. in this system, the software realization of incremental pid c

6、ontrol algorithm is adopted to realize pid parameters of the system and the software programming realize speed current digital pid adjuster operations and generate digital pwm waves. in the software implementation, the system uses the incremental pid control algorithm to change the pwm duty cycle in

7、 the hardware structure of integrated circuits using modules, greatly simplified the hardware circuit, improve the stability and reliability of the system, the system's performance improved. it realizes the real-time digital pwm motor control, with convenient control, simple and flexible configu

8、ration advantageskey words: atmegas microcontroller; dc motor: pwm speed regulator; double closed loop; pid緒論1課題的背景和意義12課題研究的主要內(nèi)容2直流電機pwm調(diào)速原理和總體方案確定21直流電機pwm調(diào)速原理22 pwm脈沖產(chǎn)生方式43.1雙閉環(huán)直流調(diào)速系統(tǒng)的工作原理53.2雙閉環(huán)調(diào)速系統(tǒng)的作用73. 3數(shù)字雙閉環(huán)直流調(diào)速系統(tǒng)7系統(tǒng)總體方案分析與設(shè)計81系統(tǒng)總體方案的分析82系統(tǒng)總體方案的設(shè)計8系統(tǒng)硬件電路設(shè)計91 atmega8單片機最小系統(tǒng)91. 1 atmega8的簡介及特點

9、91. 2 atmega8單片機的最小系統(tǒng)的其他電路設(shè)計112電源模塊電路設(shè)計123直流電機驅(qū)動模塊設(shè)計133. 1 h橋驅(qū)動電路原理133.2直流電機驅(qū)動電路設(shè)計134.4隔離模塊電路設(shè)計164. 5鍵盤電路設(shè)計174.6速度和電流檢測模塊電路設(shè)計194. 6. 1速度檢測電路設(shè)計194.6.2電流檢測電路設(shè)計214.7轉(zhuǎn)速顯示電路模塊設(shè)計214.8串行通信模塊電路設(shè)計225電機控制策略的研究235. 1 pid 算法235.2數(shù)字濾波算法276系統(tǒng)軟件設(shè)計286. 1主程序設(shè)計286. 2初始化程序設(shè)計296.3中斷服務(wù)子程序設(shè)計297總結(jié)與展望33參考文獻(xiàn)31附錄錯誤!未定義書簽。英文資

10、料錯誤!未定義書簽。中文譯文 錯誤!未定義書簽。致謝錯誤!未定義書簽。1緒論1.1課題的背景和意義世界上最早電機原型就是永磁屯機,當(dāng)時永磁材料性能不良,而被電磁式屯 機占了主流。后來乂發(fā)展到交流電動機,但交流電動機的調(diào)速耍增加變頻裝置, 比較復(fù)雜,20世紀(jì)60年代和80年代,稀土鉆永磁和錢鐵硼永磁(二者統(tǒng)稱稀 土永磁)相繼問世,它們的高剩磁密度、高磁能積和線性退磁曲線的優(yōu)異磁性能 特別適合于制造電機,我國的稀土礦的儲藏量居世界首位,目前正大力研究和推 廣應(yīng)用以稀土永磁直流電機為代表的各種永磁直流電機,而使永磁直流屯機的發(fā) 展進(jìn)入一個新的時期。直流電動機采用永磁勵磁后,既保留了電勵磁直流電動機

11、良好的調(diào)速特性和機械特性,還因省去了勵磁繞組和勵磁損耗而具有結(jié)構(gòu)工藝簡 單、體積小、用銅量少、效率高等特點。因而從家用電器、便攜式電子設(shè)備、電 動工具到要求有良好動態(tài)性能的精密速度和位置傳動系統(tǒng)都大量應(yīng)用永磁直流 電動機。500 w以下的微型直流電動機中,永磁直流電機占92%, rfnlow以下的 永磁直流電機占99%以上。永磁直流電動機向經(jīng)濟型和高性能型兩個方向發(fā)展, 應(yīng)用面從玩具屯機、咅像電機、汽車微屯機、電動輪椅到工業(yè)用小功率驅(qū)動和伺 服驅(qū)動,如數(shù)控機床用電機、電動工具等。本課題研究的系統(tǒng)適用于100w以下 的永磁直流電機,可用于家用電器、便攜式電子設(shè)備、電動t具和一些t業(yè)控制 中的電機

12、。對這類電機調(diào)速系統(tǒng)采用微機實現(xiàn)數(shù)字化控制,是電氣傳動發(fā)展的主要方 向。采用微機控制后,整個調(diào)速系統(tǒng)可以實現(xiàn)全數(shù)字化,結(jié)構(gòu)簡單,可靠性提高, 操作維護(hù)方便,電機穩(wěn)態(tài)運行時的穩(wěn)速精度可以達(dá)到較高水平。在電力電子技術(shù)高 速發(fā)展的時代,單頤在復(fù)雜控制領(lǐng)域扮演極為重要的角色,單片機性能可靠,程 序設(shè)計方便靈活,抗干擾能力強,運行穩(wěn)立。pwm調(diào)速系統(tǒng)以其調(diào)速范i韋i寬、精 度高、響應(yīng)速度快等優(yōu)點成為當(dāng)前主要控制方式。所以,本課題釆用單片機控制 的pwm直流電機調(diào)速,在實際應(yīng)用屮,它較好的實現(xiàn)了對直流屯機的速度控制, 具有控制精度高、穩(wěn)定性好、響應(yīng)速度快等優(yōu)點。avr單片機具有較高的性價比 和穩(wěn)定性,將它

13、應(yīng)用于直流電機的pwm調(diào)速,具有良好的性能和很高的實際應(yīng)用 價值。本課題采用atmcga.8單片機作為主控制器,atmega8單片機內(nèi)部有pwm和a1) 轉(zhuǎn)換通,就可以不用在外圍再設(shè)置a/d轉(zhuǎn)換器,硬件結(jié)構(gòu)和控制大大簡化,可以 實現(xiàn)較復(fù)朵的控制,單片機有更強的邏輯功能,運算速度和精度高、有大容量的 存儲單元,因此有能力實現(xiàn)復(fù)雜的控制,;本課題采用軟件代替?zhèn)鹘y(tǒng)的模擬電路 實現(xiàn)電流環(huán)和轉(zhuǎn)速環(huán)的pid調(diào)節(jié),不會出現(xiàn)模擬電路中經(jīng)常遇到的零點漂移問 題,無論被控量的大小,都可以保證足夠的控制精度;與模擬直流調(diào)速系統(tǒng)相比, 控制算法易于改進(jìn)、程序易于移植、控制精度高、調(diào)速范i韋i寬、靜差率小、功率 因數(shù)髙

14、等優(yōu)點。單片機的控制方式是由軟件完成的,如果需要修改控制規(guī)律,一 般不必改變系統(tǒng)的硬件電路,只需修改程序即可,在系統(tǒng)調(diào)試和升級時,可以不 斷嘗試選擇最優(yōu)參數(shù),非常方便;本系統(tǒng)還可以增加了通信模塊,可提供人機 界面,多機聯(lián)網(wǎng)工作等,實現(xiàn)實時監(jiān)控和調(diào)制,實現(xiàn)了全數(shù)字化控制,系統(tǒng)結(jié)構(gòu) 簡單,性能良好。永磁直流電機控制是avr單片機應(yīng)用的主要領(lǐng)域,隨著社會的發(fā)展以及對電 機控制的耍求日益提高,avr單片機將在電機控制領(lǐng)域屮發(fā)揮越來越重要的作 用。1.2課題研究的主要內(nèi)容本課題主要研究pwm直流調(diào)速的原理,以稀上永磁直流電機為研究對象,通 i±atmega8單片機控制pwm來調(diào)速,主要介紹了該

15、系統(tǒng)的硬件以及軟件具體設(shè)計, 硬件主要有控制器,電機驅(qū)動,轉(zhuǎn)速和電流檢測,鍵盤和轉(zhuǎn)速顯示等,用鍵盤輸 入給定轉(zhuǎn)速和有關(guān)控制信號及參數(shù),可以實現(xiàn)電機的啟制動、正反轉(zhuǎn)、速度和電 流調(diào)節(jié),并用led數(shù)碼管實時顯示動態(tài)轉(zhuǎn)速,由軟件編程實現(xiàn)轉(zhuǎn)速電流數(shù)字ptd 調(diào)節(jié)器的運算并產(chǎn)生數(shù)字pwm波,改變pwm的占空比來調(diào)節(jié)電機的轉(zhuǎn)速,以及對 數(shù)字算法濾波的研究。從而構(gòu)成了一個比較完整有效的雙閉環(huán)直流電動機調(diào)速控 制系統(tǒng)。2直流電機pwm調(diào)速原理和總體方案確定2.1直流電機pwm調(diào)速原理改變電壓的方法很多,最常見的一定是pwm脈寬調(diào)制,調(diào)節(jié)電機的輸入占空 比就可以控制電機的平均電壓,控制轉(zhuǎn)速。u -irn =直流

16、電機轉(zhuǎn)速表達(dá)式為:k0式中:u電樞端電壓;t一電樞電流;r電樞屯路總阻;0 每極磁通量;k 電機結(jié)構(gòu)參數(shù)。對直流電機的轉(zhuǎn)速控制方法可分為對勵磁磁通進(jìn)行控制的勵磁控制法和對 電樞電壓進(jìn)行控制的電樞控制方法。冃前絕大多數(shù)直流電機采用開關(guān)驅(qū)動方式, 它是使半導(dǎo)體功率器件工作在開關(guān)狀態(tài),通過脈寬調(diào)制pwm來控制電機電樞電 壓,實現(xiàn)調(diào)速。pwm(pulse width modulation)脈沖寬度調(diào)制技術(shù)通過對一系 列數(shù)字脈沖的寬度進(jìn)行調(diào)制,在脈沖作用下,當(dāng)電機通電時速度加快,斷電時速度 逐漸變慢,只要按一定規(guī)律改變通斷電的時間,即可對電機的轉(zhuǎn)速控制,實現(xiàn)直流電機調(diào)速數(shù)字化叫對小功率直流電機調(diào)速系統(tǒng),

17、使用單片機是極為方便的,其方法是通過改變 電機電樞電壓接通時間與通電周期的比值(即占空比)來控制電機速度,這種方 法稱為脈沖寬度調(diào)制pwm,通過控制脈沖占空比來改變電機的電樞電壓.改變占空比的方法有3種(1)定寬調(diào)頻法,這種方法是保持tl不變,只改變t2,這樣也使周期t (或 頻率)也隨之改變;(2)調(diào)寬調(diào)頻法,保持t2不變,而改變tl,這樣也使周期t (或頻率)改變;(3)定頻調(diào)寬法,這種方法是使周期t (或頻率)不變,而同時改變tl和t2。由于前兩種方法都改變了周期(或頻率),當(dāng)控制頻率與系統(tǒng)的固有頻率接 近時,將會引起振蕩,用的比較少,因此本系統(tǒng)用的是定頻調(diào)寬法,只耍按一定 規(guī)律,改變通

18、斷電時間,即可實現(xiàn)對電機的轉(zhuǎn)速控制。電機pwm控制示意圖如圖 2-1jflkdv圖2-1 pwm控制示意圖電動機兩端得到的電壓波形如圖2-2所示的電壓平均值/n,可用下式表示為二 ton/t x us二式中ton開關(guān)每次接通的時間t開關(guān)通斷的時間周期a占空比a =ton/topwm控制波形圖2-2usuavtoffton圖2-2 pwm控制波形圖2.2 pwm脈沖產(chǎn)生方式pwm脈沖波的產(chǎn)生方法有四種:1)分立電子元件組成的pwm信號發(fā)生器這種方法是用分立的邏輯電子元件組成 pwm信號電路。它是最早期的方式,現(xiàn)在已經(jīng)被淘汰了。2)軟件模擬法利用單片機的一個i/o引腳,通過軟件對該引腳不斷地輸出高

19、低電平來實現(xiàn)p w m波輸岀。這種方法要占用cpu大量時間,使單片機無法進(jìn)行其它的t作,因此 也逐漸被淘汰。3)專用pwm集成電路從pwm控制技術(shù)出現(xiàn)之日起,就仃芯片制造商生產(chǎn)專用的pwm集成電路芯片?,F(xiàn) 在市場上已經(jīng)有很多種型號,如ti公司的tl494芯片。東芝公司的2sk3131芯 片等。這些芯片除了有pwm信號發(fā)生功能外,還有“死區(qū)”調(diào)節(jié)功能、過流過壓 保護(hù)功能等,這種專用pwm集成電路可以減輕單片機的負(fù)擔(dān),工作更可靠。4)單片機的pwm 新一代的單片機增加了許多功能,其中包括pwm功能。如ai)公司的12位單片機 aduc831, inter公司的16位單片機8xc196以及cygna

20、l公司的8位單片機 c8051f0xx系列等。在新一代的單片機中,通過初始化設(shè)置,使其pwm輸出口能 夠自動發(fā)出pwm脈沖波,只有在改變占宇比時,cpu才進(jìn)行干預(yù)。本系統(tǒng)采用atmegas的內(nèi)部定時/計數(shù)器產(chǎn)生pwm波,atmegas單片機有3 個pwm輸出通道,本系統(tǒng)采用定時器/計數(shù)器1的相位頻率可調(diào)pwm模式, 0c1a/0c1b輸出的pwm波形的頻率輸出由下式確定,式中n的取值為1、8、64、 256或1024。f0ciapfcpwm二魯卷通過設(shè)置0cr1a/0cr1b的值,可以獲得不同占空比的脈沖波形,本系統(tǒng)p1d輸出的控制電壓對應(yīng)0cr1a/0cr1b的值,來 實現(xiàn)數(shù)字調(diào)速。2.3雙

21、閉環(huán)直流調(diào)速系統(tǒng)的介紹直流電機雙閉環(huán)(電流環(huán)、轉(zhuǎn)速環(huán))調(diào)速系統(tǒng)是一種當(dāng)前應(yīng)用廣泛,經(jīng)濟, 適用的電力傳動系統(tǒng)。采用轉(zhuǎn)速負(fù)反饋和pi調(diào)節(jié)器的單閉環(huán)調(diào)速系統(tǒng)可以在保 證系統(tǒng)穩(wěn)定的條件下實現(xiàn)轉(zhuǎn)速無靜差。但如果對系統(tǒng)的動態(tài)性能要求較高,例如 耍求起制動、突加負(fù)載動態(tài)速降小等等,單閉環(huán)系統(tǒng)就難以滿足耍求。在單閉環(huán) 系統(tǒng)中,只有電流截至負(fù)反饋環(huán)節(jié)是專門用來控制電流的。但它只是在超過臨界 屯流值以后,強烈的負(fù)反饋作用限制電流得沖擊,并不能很理想的控制屯流的動 態(tài)波形。在實際工作中,我們希望在電機最大電流受限的條件下,充分利用電機 的允許過載能力,最好是在過度過程中始終保持電流(轉(zhuǎn)矩)為允許最大值,使 電力拖

22、動系統(tǒng)盡可能用最大的加速度起動,到達(dá)穩(wěn)定轉(zhuǎn)速后,乂讓電流立即降下 來,使轉(zhuǎn)矩馬上與負(fù)載相平衡,從而轉(zhuǎn)入穩(wěn)態(tài)運行。實際上,由于主電路電感的 作用,屯流不能突跳,為了實現(xiàn)在允許條件下最快啟動,關(guān)鍵是要獲得一段使電 流保持為最人值得恒流過程,按照反饋控制規(guī)律,電流負(fù)反饋就能得到近似的恒 流過程。因此我們采用雙閉環(huán)調(diào)速系統(tǒng)。這樣就能做到既存在轉(zhuǎn)速和電流兩種負(fù) 反饋作用乂能使它們作用不同的階段。2.3. 1雙閉環(huán)直流調(diào)速系統(tǒng)的工作原理在轉(zhuǎn)速、電流雙閉環(huán)調(diào)速系統(tǒng)中,既要控制轉(zhuǎn)速,實現(xiàn)轉(zhuǎn)速無靜差調(diào)節(jié),又 要控制電流使系統(tǒng)在充分利用電動機過載能力的條件下獲得最佳過渡過程,其關(guān) 鍵是處理好轉(zhuǎn)速控制與電流控制之間

23、的關(guān)系,就是將二者分開,用轉(zhuǎn)速調(diào)節(jié)器 asr調(diào)節(jié)轉(zhuǎn)速,用電流調(diào)節(jié)器acr調(diào)節(jié)電流。asr與acr之間實現(xiàn)串級聯(lián)接,即 以asr的輸出電壓作為電流調(diào)節(jié)器的電流給定信號,再用acr的輸出電壓t/c 作為晶閘管觸發(fā)電路的移相控制電壓。從雙閉環(huán)反饋的結(jié)構(gòu)看,轉(zhuǎn)速環(huán)在外而為 外環(huán),電流環(huán)在里面為內(nèi)環(huán)。其系統(tǒng)原理圖如圖1所示。om >1a圖2-3轉(zhuǎn)速、電流雙閉環(huán)直流調(diào)速系統(tǒng)asr-轉(zhuǎn)速調(diào)節(jié)器acr-電流調(diào)節(jié)器tg-測速發(fā)電機ta-電流互感器upe-電力電子變換器un*-轉(zhuǎn)速給定電壓un一-轉(zhuǎn)速反饋電壓電流給定電壓u.x -一電流反饋電壓先說明雙閉環(huán)調(diào)速系統(tǒng)啟動過程的速度和電流的變化。在啟動瞬間,速度

24、和電流為0,合電后,速度調(diào)節(jié)器和電流調(diào)節(jié)器的比例項 立即作用,輸出電流很快上升,電動機開始啟動,但速度變化比較緩慢。速度調(diào) 節(jié)器的輸入為速度設(shè)定值和速度實際值的差,經(jīng)過調(diào)節(jié)器積分項的作用,速度調(diào) 節(jié)器的輸出很快進(jìn)入飽和,其飽和值由速度調(diào)節(jié)器的輸出限幅值確定。由于速度 調(diào)節(jié)器的輸出為電流設(shè)定值,因而速度調(diào)節(jié)器的輸出限幅決定了啟動過程中的電 流最大值。當(dāng)電流上升到最大值后,曲于速度調(diào)節(jié)器的輸出受限幅環(huán)節(jié)的影響, 電流設(shè)定值不再變化,相當(dāng)于電流單閉環(huán)控制,電動機以恒定電流加速,速度增 加,直到電動機速度達(dá)到設(shè)定轉(zhuǎn)速,速度調(diào)節(jié)器的輸入將變負(fù),速度調(diào)節(jié)器開始 退飽和,它的輸出變小,即電流設(shè)定值變小。但是

25、,只要電動機電樞電流比負(fù)載 等值電流人,屯動機仍將繼續(xù)加速,直至屯動機的電樞電流和負(fù)載等值電流相等, 加速才停止。z后電動機的電流和速度再經(jīng)歷一段調(diào)節(jié)過程,系統(tǒng)穩(wěn)定在速度設(shè) 穩(wěn)定值運行,屯流則和負(fù)載屯流平衡,啟動過程結(jié)束。穩(wěn)定狀態(tài)下電流轉(zhuǎn)速環(huán)的調(diào)節(jié)過程如下: 1以電流調(diào)節(jié)器acr為核心的電流環(huán)電流環(huán)是電流調(diào)節(jié)器acr和電流反饋環(huán)節(jié)組成的閉合冋路,曲于acr是pi調(diào)節(jié) 器,穩(wěn)態(tài)時其輸入偏差屯壓厶u嚴(yán)-u; +uj=-u: +阻=0,即id =ul/3 o 其中為電流反饋系數(shù)。當(dāng)j7; 定時,出于電流反饋的調(diào)節(jié)作用,使整流裝置的輸出電流保持在(/;/?數(shù)值上。當(dāng)i(i>u;/0時,自動調(diào)節(jié)過

26、程為id tt = (-u; +)/t ua it ud /t ld i最終保持電流穩(wěn)定。當(dāng)電流下降時,也有類似的調(diào)節(jié)過程。2以轉(zhuǎn)速調(diào)節(jié)器asr為核心的轉(zhuǎn)速環(huán)轉(zhuǎn)速環(huán)是由轉(zhuǎn)速調(diào)節(jié)器asr和轉(zhuǎn)速反饋環(huán)節(jié)紐成的閉合回路,由于asr是pi調(diào)節(jié) 器,所及在系統(tǒng)達(dá)到穩(wěn)態(tài)時應(yīng)滿足aujs,即n = u;/a 當(dāng)i/; 一定時,轉(zhuǎn)速n將穩(wěn)定在u;/a數(shù)值上。當(dāng)n<u;/a時,其自動調(diào)節(jié)過程 為負(fù)載= (/; -an) |(/;| t< 0|a(/.| ua tt tt t最終保持轉(zhuǎn)速穩(wěn)定。當(dāng)轉(zhuǎn)速上升時,也有類似的調(diào)節(jié)過程。2.3.2雙閉環(huán)調(diào)速系統(tǒng)的作用雙閉環(huán)調(diào)速系統(tǒng)屮轉(zhuǎn)速調(diào)節(jié)黠的作用為:(1)實現(xiàn)

27、轉(zhuǎn)速調(diào)節(jié)無靜差,使轉(zhuǎn)速n 跟隨給定電壓變化;(2)對負(fù)載變化起抗擾作用;能對電流環(huán)進(jìn)行飽和非線性 控制,且其輸出限幅值決定允許的最大電流。屯流調(diào)節(jié)器的作用為:(1)對屯網(wǎng)電壓波動起及時抗擾作用;(2)起動時保證獲 得允許的最大電流,實現(xiàn)最佳起動過程;(3)在轉(zhuǎn)速調(diào)節(jié)過程中,能使電流跟隨 其給定電壓變化;(4)靜態(tài)時依靠acr的恒流調(diào)節(jié)作用可獲待理想的下垂特性;(5) 當(dāng)電動機過載甚至堵轉(zhuǎn)時,可限制最大電樞電流,起到快速的安全保護(hù)作用,一 旦故障消失,系統(tǒng)能自動恢復(fù)正常。由于電流控制器和轉(zhuǎn)速控制器都采用pid控制方法,并且采用工程整定的方 法確定出兩個控制器各自的一組最佳控制參數(shù).所以,轉(zhuǎn)速的自

28、動控制效果非常 好.總z,對直流電動機的轉(zhuǎn)速采用速度和電流的雙閉環(huán)pid控制后,連續(xù)調(diào)速 的范圍擴大了,電動機起動和制動的速度大大地加快,調(diào)節(jié)時間顯著地縮短,轉(zhuǎn) 速的最大超調(diào)量大幅度地減小,控制精度非常高.轉(zhuǎn)速和電流的雙閉環(huán)p1d控制 系統(tǒng),很適用于起動和制動頻繁、轉(zhuǎn)速控制精度要求很高的機。2. 3. 3數(shù)字雙閉環(huán)直流調(diào)速系統(tǒng)傳統(tǒng)的雙閉環(huán)直流調(diào)速系統(tǒng)雙閉環(huán)直流調(diào)速系統(tǒng)具有良好的穩(wěn)態(tài)和動態(tài)性 能。但傳統(tǒng)的雙閉環(huán)直流調(diào)速系統(tǒng)屬于模擬系統(tǒng),其控制規(guī)律體現(xiàn)在硬件電路和 所用的器件上,因而線路復(fù)雜、通用性差,控制效果受到模擬器件本身性能和一些 外部環(huán)境因素的限制。所以木系統(tǒng)研究數(shù)字雙閉環(huán)直流調(diào)調(diào)速系統(tǒng),

29、用單片機 產(chǎn)生pwm波,用軟件方法實現(xiàn)電流轉(zhuǎn)速雙閉環(huán)調(diào)節(jié)器,用軟件方法實現(xiàn)pii)調(diào)節(jié) 改變pwm的占空比。本系統(tǒng)采用的atmegas控制的雙閉環(huán)宜流調(diào)速的系統(tǒng)框圖如圖2-4 m片機控制的雙閉環(huán)克流調(diào)速系統(tǒng)結(jié)構(gòu)圖3系統(tǒng)總體方案分析與設(shè)計3.1系統(tǒng)總體方案的分析電機調(diào)速一般分為三個級,控制級,驅(qū)動級和反饋級。單片機屬于前端的控 制級,只需耍能夠產(chǎn)生可調(diào)的pwm波形就可以(很多單片機都有專用pwm輸岀功 能,有定時器就能做到)。驅(qū)動級,在控制級后。因為單片機弱電不能直接驅(qū)動 電機這樣的強電,所以需要用功率開關(guān)器件(如mosfet等)來驅(qū)動電機?;?思路就是通過弱電控制強電。通常,驅(qū)動級和控制級還

30、需要電氣隔離(光藕器 件)保證安全。反饋級是為了實現(xiàn)精確調(diào)速的。一般是電流反饋,也有用轉(zhuǎn)速反 饋的,本系統(tǒng)采用電流轉(zhuǎn)速雙閉環(huán)反饋控制的。pwm輸岀的占空比具體是多少由 單片機通過反饋的信息綜合運算得到(是負(fù)反饋控制),pwm的輸出可通過 atmcga8單片機內(nèi)部的pwm通道輸出。給定轉(zhuǎn)速z斤,電機啟動,首先啟動時電 流環(huán)起作用,電樞電流迅速增大,直至飽和。等到達(dá)到一定的時間限制或者當(dāng)轉(zhuǎn) 速達(dá)到定值(該定值由內(nèi)部計算給出)之后然后電流環(huán)退出,曲轉(zhuǎn)速環(huán)控制電機轉(zhuǎn) 速平滑達(dá)到給定值,系統(tǒng)進(jìn)入穩(wěn)定運行狀態(tài)。直到電機得到新的給定值,轉(zhuǎn)速環(huán) 再一次起作用將轉(zhuǎn)速穩(wěn)定在新的給定值。兩個控制器的控制參數(shù)(比例度

31、、積分時間和微分時間)的一組最佳值的確 定,采用工程整定的方法來實現(xiàn).在控制參數(shù)的工程整定過程屮,先整定電流環(huán) (內(nèi)環(huán)),確定出電流控制器的一組最佳控制參數(shù).然后,將內(nèi)環(huán)作為轉(zhuǎn)速環(huán)(外 環(huán))的一個環(huán)節(jié),再對外環(huán)進(jìn)行工程整定,確定出轉(zhuǎn)速控制器的一組最佳控制參 數(shù).還可以通過與pc機通信實時監(jiān)測直流電機的狀態(tài),改變控制參數(shù)。3.2系統(tǒng)總體方案的設(shè)計根據(jù)系統(tǒng)設(shè)計的任務(wù)和要求,設(shè)計系統(tǒng)框圖如圖3-1,圖中控制器atmegas 單片機為系統(tǒng)的核心部件,在運行過程中控制器產(chǎn)生pwm脈沖送到屯機驅(qū)動電路 中,經(jīng)過放大后控制直流電機轉(zhuǎn)速。電流和速度檢測模塊將反饋送到控制器中, 控制器經(jīng)過pid算法改變pwm的

32、占空比。鍵盤模塊給定轉(zhuǎn)速,顯示模塊可以顯示 直流電機的所測轉(zhuǎn)速。圖3-1設(shè)計系統(tǒng)總框圖系統(tǒng)的工作原理:在鍵盤設(shè)定轉(zhuǎn)速后,在新的采樣周期到來時,光電編碼器 測得電機的速度,并通過編碼器接口電路反饋到atmega8單片機中,電流檢測環(huán) 測出電機中的屯流,進(jìn)入atmegas中ad轉(zhuǎn)換通道。單片機通過比較預(yù)定義的運 動速度與實際的電機速度(反饋速度)得到偏差,然后經(jīng)過轉(zhuǎn)速環(huán)ptd控制算法輸 出,此輸出量乂與電流反饋比較得到偏差,經(jīng)過電流環(huán)pid調(diào)節(jié)輸出控制量,單 片機根據(jù)得到的控制量,改變輸出pwm信號的脈寬。pwm信號通過光耦隔離,經(jīng) l298進(jìn)行功率放人輸出控制直流電機,然后進(jìn)入下一個采樣周期。4

33、系統(tǒng)硬件電路設(shè)計4.1 atmega8單片機最小系統(tǒng)單片機最小系統(tǒng)一般包扌舌:單片機核心芯片、電源、復(fù)位電路、下載電路等 兒部分等。下而依次介紹這兒個模塊。4. 1. 1 atmega8的簡介及特點atmega系列單片機承襲了avr系列中at90所具有的特點,并增加了更多的 接口功能,而且在省電性、穩(wěn)定性、抗干擾性及靈活性方面都更加周全和完善。 atmega8屬于atmega系列單片機(atmega 16/ atmega32/ atmega64/ atmegal28) 的一個子集,其內(nèi)部集成了較大容量的存儲器和豐富的硬件接口電路,并且在軟 件上能有效支持;高級語言及匯編語言。atmega8是一

34、款采用低功耗cmos工藝生產(chǎn)的基于avr risc (精簡指令集) 結(jié)構(gòu)的8位單片機。avr單片機的核心是將32個通用t作寄存器和豐富的指令集連 接在一起,所有的寄存器都直接與運算邏輯單元(alu)相連接,使得一條指令可 以在一個時鐘周期內(nèi)同時訪問兩個獨立的寄存器。這種結(jié)構(gòu)可提高代碼效率,使 得大部分指令的執(zhí)行時間公為一個時鐘周期,故可達(dá)到將近lmips/mhz的性能, 運行速度比普通單片機高出10倍。atmegas的主要性能如下:(1)高性能、低功耗的8位avr微控制器。采用先進(jìn)的精簡rtsc指令集結(jié)構(gòu);有130 條功能強大的指令+大多數(shù)為單周期指令;內(nèi)含32個8位通用工作寄存器;工作在 1

35、6mhz時指令處理速度為16mips.片內(nèi)集成了較大容量的非易失性程序和數(shù)據(jù)存儲器。8k字節(jié)的flash程序存儲 器的可擦寫次數(shù)大于10000次;512個字節(jié)e2prom的擦寫次數(shù)至少可達(dá)100000 次;支持在線編程(isp)和在應(yīng)用編程(iap);帶有可編程的程序加密位。(3) 包括一個512字節(jié)的eeprom, eepr0m集成在單片機內(nèi)部為整定各種固定的定值 有非常大的好處,比如調(diào)速系統(tǒng)中非常重要的pid參數(shù),死區(qū)參數(shù)等等,這樣為 外圍電路乂節(jié)省了一片eeprom,節(jié)省了成本。(4) atmega8整合了大量的外i韋i功能,所以極大的減少了整個系統(tǒng)的芯片數(shù)量,由 于其口驅(qū)動能力比較強而

36、且自帶上拉電阻,所以可以不加上拉電阻驅(qū)動共陰極碼 管,一個口基本上可以驅(qū)動6-8個共陰極的數(shù)碼管,足見其驅(qū)動能力是相當(dāng)高的 上拉電阻的另一個好處是可以防止干擾,當(dāng)使能atmegas口的上拉電阻的最大好 是可以避免干擾,而且為pcb節(jié)省了很多空間,因為省去了人量的上拉電阻。(5) 帶有2個帶預(yù)分頻的8位定時/計數(shù)器、1個帶預(yù)分頻的16位定時/計數(shù)器;3個 pwm通道,可實現(xiàn)任意16位以內(nèi)相位和頻率可調(diào)的pwm脈寬調(diào)制輸出;一個串 行接口、一個可編程的usart接口、一個支持主/從、收/發(fā)的spi同步串行接口。一個10位的ad轉(zhuǎn)換,一個快速的模擬比較器和一個片上校準(zhǔn)的8mh7的rc內(nèi)部 振蕩器,這

37、樣的設(shè)計使得在對ad精度耍求不高的應(yīng)用下,非常方便的將芯片應(yīng)用 丁中低端的控制領(lǐng)域,獨有的自編程功能使得升級程序也非常的方便,如果選擇 超過8k的版本,甚至可以在上面跑一個簡單的嵌入式操作系統(tǒng)。該cpu內(nèi)部具有片內(nèi)rc振蕩器的可編程看門狗定時器;看門狗,可以在任何程 序跑飛的情況下將cpu復(fù)位,從而保證系統(tǒng)長時間無故障的運行。(8)具有特殊的微控制器性能。內(nèi)含可控制的上電復(fù)位延時電路和可編程的欠電 壓檢測電路;芯片內(nèi)部和外部共有18+2個中斷源;5種休眠模式(空閑、adc噪聲 抑制、省電、掉電、待命)o atmegas的內(nèi)部結(jié)構(gòu)圖如圖4-1所示resetvcclpco pcepoo - pq7

38、xialpoo pot圖4-1 atmega8內(nèi)部結(jié)構(gòu)圖4. 1.2 atmega8單片機的最小系統(tǒng)的其他電路設(shè)計時鐘電路:時鐘電路一般由晶振和電容組成。單片機必須在周期性的時鐘信 號的作用下工作,如果沒有時鐘信號的限制,那單片機的工作就亂套了。對于 atmegas單片機來說,它內(nèi)部有經(jīng)過校正的內(nèi)部rc振蕩器,所以在對時鐘精度 要求不高的場合,我們可以省去時鐘電路。復(fù)位電路:mcu的復(fù)位模式一般可分為高電平復(fù)位和低電平復(fù)位兩種模式。 atmegas單片機是低電平復(fù)位,也就是說當(dāng)avr單片機的復(fù)位引腳有一個持續(xù) 50ns的低電平的時候,avr單片機就會復(fù)位。單片機的復(fù)位引腳平時被連接到 電源正極

39、的電阻嵌位在高屯平,當(dāng)按鈕按卜后,復(fù)位引腳被連到電源負(fù)極,當(dāng)按 鈕松開后,復(fù)位引腳重新變?yōu)楦唿c平,在按鈕按下和松開這個過程一般至少持續(xù) 100ms,這個時間足夠產(chǎn)生令單片機的復(fù)位脈沖了。與傳統(tǒng)的51單片機相比,atmegas單片機內(nèi)置復(fù)位電路,并且在熔絲位里,可以控制復(fù)位時間,所以,atmegas可以不設(shè)外部上電復(fù)位電路,依然可以正常復(fù)位,穩(wěn)定工作。atmcga8單片機復(fù)位電路和晶振電路的設(shè)計圖如圖4-2所示圖4-2 atmega8單片機的復(fù)位和時鐘電路jtag接口使用單片機的4個專用引腳,它們是:tck, tms, tdi, tdo。硬件電圖4-3 jtag接口電路路如圖4-3所不。jimi

40、vcctditootckgnd4.2電源模塊電路設(shè)計電源是任何系統(tǒng)能否運行的能量來源,無論哪種電力系統(tǒng)電源模塊都是不可 或缺的,對于該模塊考慮以兩種方案。方案一:通過電阻分壓的形式將整流后的電壓分別降為控制芯片和電機運行 所需的電壓,此種方案原理和硬件電路連接都比較簡單,但對能量的損耗大。方案二:通過固定芯片對整流后的電壓進(jìn)行降壓,穩(wěn)壓處理,此種方案可靠, 安全性高,對能源的利用率高,并且電路簡單容易實現(xiàn)。本系統(tǒng)采用電機的供電選擇方案一,因為直流電機的雙閉環(huán)調(diào)速可以抗電網(wǎng) 電壓,在本系統(tǒng)中的直流電機需要40v電源,而單片機,顯示模塊和其它芯片和電路需要5v的電源,需耍有穩(wěn)定的電壓,因此電路中選

41、用7805穩(wěn)壓芯片。穩(wěn)壓電 路如圖4-4所示圖4-4電源電路硬件圖4.3直流電機驅(qū)動模塊設(shè)計4.3. 1 h橋驅(qū)動電路原理圖4-5中所示為一個典型的直流電機控制屯路。如圖所示,ii橋式電機驅(qū)動 電路包括4個三極管,4個二極管和一個電機。要使屯機運轉(zhuǎn),必須導(dǎo)通對角線 上的一對三極管。根據(jù)不同三極管對的導(dǎo)通情況,電流可能會從左至右或從右至圖4-5 h橋驅(qū)動電路要使電機運轉(zhuǎn),必須使對角線上的一對三極管導(dǎo)通。例如,當(dāng)q1管和q4 管導(dǎo)通時,電流就從電源正極經(jīng)q1從左至右穿過電機,然后再經(jīng)q4冋到電源負(fù) 極。該流向的電流將驅(qū)動電機順時針轉(zhuǎn)動。當(dāng)三極管q1和q4導(dǎo)通時,電流將從 左至右流過電機,從而驅(qū)動電

42、機按特定方向轉(zhuǎn)動(電機周圍的箭頭指示為順時針 方向)。當(dāng)三極管q2和q3導(dǎo)通時,電流將從右至左流過電機,從而驅(qū)動電機沿 逆時針方向轉(zhuǎn)動。4.3.2直流電機驅(qū)動電路設(shè)計1直流電機驅(qū)動方案一般有兩種:方案一:對大功率的電動機常采用igbt作為主開關(guān)元件;對中小功率的電 機常采用功率場效應(yīng)管作為主開關(guān)元件,這種方法結(jié)構(gòu)簡單,成本低、易實現(xiàn), 但曲于在驅(qū)動電路中采用了大量的晶體管相互連接,使得電路復(fù)朵、可靠性下降。方案二:采用專用的電機驅(qū)動芯片,比如常用的l293d、l298n、ta7257p、 sn754410等。由于它們內(nèi)部已經(jīng)考慮到了電路的抗干擾能力,安全可靠,在使 用時只考慮芯片的硬件連接、驅(qū)

43、動能力問題就可以了。此種方案的電路設(shè)計簡單, 將重點放在算法實現(xiàn)和軟件的設(shè)計中,提高了t作效率?;谝陨戏治?,本系統(tǒng)選擇l298來驅(qū)動電機。2 l298的介紹和和使用l298是雙h橋高電壓大電流功率集成電路,直接采用,ttl邏輯電平控制,可 用來驅(qū)動繼電器、線圈、直流電動機、步進(jìn)電動機等電感性負(fù)載。它的驅(qū)動電壓 可達(dá)46v,直流電流總和可達(dá)4a。其內(nèi)部具有2個完全相同的pwm功率放大回路, 該芯片的主要特點如下:1工作電壓達(dá)到46v;2最人輸hi直流電流町以達(dá)到4a;3低飽和電壓;4過溫保護(hù);5搞噪蘆能力強vss邏輯電源:4. 57v,本系統(tǒng)可取5v。l298的引腳功能如表4-1表4-1 l2

44、98的引腳功能表引腳名稱功能1 15sense a; sense b電流取樣輸岀引腳23out1; out2橋a的輸出4vs電源57inputl; input2橋a的與ttl兼容的輸入6 11enable a; enable a橋a和橋b的與ttl兼容的輸入8gnd地9vss電源10 12input3; input4橋b的與ttl兼容的輸入1314out3; out4橋b的輸出l298n控制雙向直流電機的邏輯表如表4-2表4-2 l298n控制雙向直流電機的邏輯表ena (b)in1 ( in3)in2 ( in4)直流電機運行情況hhl正轉(zhuǎn)hlh反轉(zhuǎn)h同 in2 ( in4)同 in1 (

45、in3)快速停止lxx停止在實際使用中為了獲得更大的輸出電流,可以將in1與in3, in2與in4, out1與out2 , out1與out2分別接在一起,l298n的4個輸入要采用光耦隔離。 avr單片機atmegas輸出的pwm信號需要經(jīng)過功率放大才能控制直流電機,本系統(tǒng) 采用l298作為驅(qū)動芯片。當(dāng)vs=40v時,最高輸岀電壓可達(dá)35v,連續(xù)電流可達(dá)4a。l298有單極性、雙極性2種工作方式。單極性t:作方式指的是在一個pwm周期 內(nèi),電機的電樞只承受單極性的電壓;雙極性工作方式是指在一個pwm周期內(nèi)電 機電樞兩端的電壓呈正負(fù)變化。調(diào)速控制系統(tǒng)采用的是單極性工作方式。由l298 構(gòu)成

46、的pwm功率放大器的工作形式為單極可逆方式,單極性驅(qū)動方式是指在一個 pwm周期內(nèi),電動機電樞只承受單極性的電壓。通過pwm信號輸入端in3和in4可以 控制電動機的正反轉(zhuǎn)(輸入端in1為pwm信號,輸入端in2為低電平,電動機正轉(zhuǎn); 輸入端jln2為pwm信號,輸入端in1為低電平,電動機反轉(zhuǎn));當(dāng)它為低電平時,驅(qū) 動橋路上的4個晶體管全部截止,使正在運行的電動機電樞電流反向,電動機自 曲停止。電動機的轉(zhuǎn)速由單片機調(diào)節(jié)pwm信號的占空比來實現(xiàn)。l298硬件連接圖 如圖4-6l298n圖4-6 l298硬件連接圖3 l98保護(hù)電路設(shè)計比較器lm393主要起到了限制過流和保護(hù)l298的作用。lm

47、393是一塊比較器電路,其有兩個獨立,精確的電壓比較器組成,兩比較器是專門設(shè)計在電壓范i韋i 較寬的單電源下工作,但在雙電源下也能工作,并且其電源大小不受電源電壓幅 度大小影響。lm393的引腳說明如表4-3表4-3lm393的引腳說明引腳符號功能引腳符號功能10ut1比較器1輸出5in2+比較器2;正相輸入2in1-比較器1;反相輸入6in2-比較器2;反相輸入3in1+比較器1;正相輸入70ut2比較器2輸岀4gnd地8vcc電源lm393的同相端直接連到了一個可變電位計上,電位計的參考電壓是可調(diào)的。 調(diào)節(jié)電位計的參考電壓能調(diào)節(jié)l298的限流電壓。lm393的反相端接到l298的 sens

48、ea和semseb兩檢測端。當(dāng)檢測電阻兩端的電壓大于限流電壓時,比較器的反 相端的電壓人于同相端的屯壓,輸hi端輸hi低屯平,從而把l298的ena和ena使能端拉低,l298停止工作。這就起到了限制過流和保護(hù)l298的作用。圖4-7為lm39374ls08&圖4-7 lm339與單片機的硬件連接圖4.4隔離模塊電路設(shè)計本系統(tǒng)采用光耦隔離iso7220: iso7220是ti公司生產(chǎn)的光耦產(chǎn)品,該產(chǎn)品 主要的應(yīng)用的場合有:1工業(yè)現(xiàn)場總線2計算機外部設(shè)備接口3伺服控制接口4數(shù)據(jù)采集iso7220的外部引腳圖如圖48iso7220vcc2outaoutbgnd2圖4-8光耦隔離1s0722

49、0引腳圖1nbgnd1pc3pc4工sufjsufiso7220的引腳功能如表44表4-4 1s07220的引腳功能表引腳名稱功能1vcc1輸入端供電電源2ina輸入端a3inb輸入端b4gnd1輸入端的地5gnd2輸出端的地6outb輸出端b7outa輸出端a8vcc2輸出端供屯電源注意實際使用時vcc1 vcc2具有兩個不同地的電源,利用5v/5v的dc隔離模塊可 以獲得兩個不同的5v電源。ts07220的硬件屯路圖如圖4-9所示圖4-9 1s07220與單片機破件連接圖4.5鍵盤電路設(shè)計系統(tǒng)的給定有模擬給定和數(shù)字給定兩種方式。模擬給定是以模擬量表示的給肚值,例如給定電位器的輸出電壓。模擬

50、給定須經(jīng)a/d轉(zhuǎn)換為數(shù)字量,再參與運算。如圖4-10數(shù)字給定是用數(shù)字量表示的給定值,可以是撥盤設(shè)定,鍵盤設(shè)定或采用通信 方式由上位機直接發(fā)送。如圖4-11所示鍵盤撥盤上位機i/o通信接口微機圖4-10模擬給定圖圖4-11數(shù)字給定圖鍵盤是單片機系統(tǒng)中進(jìn)行人機對話的基木接口,是單片機系統(tǒng)數(shù)據(jù)輸入的重 要方式。本系統(tǒng)速度的給定采用鍵盤給定速度,按鍵還可以參數(shù)的輸入,電機起 停的控制。鍵盤的設(shè)計有兩種方案。方案一:采用獨立式鍵盤,這種鍵盤駛件連接和軟件實現(xiàn)簡單,各按鍵相互 獨立,每個按鍵各占用一位t/o的口線,它們之間狀態(tài)是獨立的,相互之間沒有 影響,只要單獨測試口線電平的高低就能判斷鍵的狀態(tài)。在按鍵

51、數(shù)星較多的情況 下,采用獨立式接口方式就會占用太多的i/o口,故此鍵盤只適用于按鍵少的場 合。方案二:采用行列式鍵盤,這種鍵盤的特點是行線、列線分別接輸入線、輸 出線。按鍵位于行、列的交叉點上。當(dāng)鍵被按下時,其交點的行線和列線接通, 相應(yīng)的行線或列線上的屯平發(fā)生變化,mcu通過檢測行或列線上的電平變化可以 確定哪個按鍵被按下。矩陣式鍵盤適用于按鍵數(shù)量較多的場合,但只種鍵盤的軟 件編程復(fù)雜些。本系統(tǒng)對軟件的運行速度要求不高,按鍵較多,所以采用4 x4的矩陣鍵盤。 可以構(gòu)成16個鍵的鍵盤。各鍵的功能設(shè)置如下:0號鍵:啟動鍵;1號鍵:確定鍵;211號鍵:設(shè)定速度鍵;其它的鍵是參數(shù)設(shè) 置鍵。 鍵盤與單

52、片機的硬件接口電路如圖4-12圖4t2鍵盤電路與單片機硬件連接圖4.6速度和電流檢測模塊電路設(shè)計4.6. 1速度檢測電路設(shè)計在直流調(diào)速系統(tǒng)中,速度一般都有三種反饋形式:(1) 測速發(fā)電機反饋:(2) 脈沖編碼器反饋;(3) 電樞電壓反饋。電樞電壓負(fù)反饋是在忽略電樞電阻壓降的前提下近似反應(yīng)轉(zhuǎn)速信號的負(fù)反 饋。但是在現(xiàn)場不具備條件的悄況卜或者是對于精度要求不高的悄況f,還是經(jīng) 常采用電樞電壓負(fù)反饋形式,因此在電路設(shè)計中電樞電圧負(fù)反饋還是必不可少 的。測速發(fā)電機測量轉(zhuǎn)速是長期以來一直使用的簡便方法,直接將速度信號轉(zhuǎn)換 為對應(yīng)的電壓信號。脈沖編碼器反饋不同于前兩種負(fù)反饋,電樞電壓負(fù)反饋和測 速發(fā)電機負(fù)

53、反饋信號是模擬信號,而脈沖編碼器反饋的信號則是數(shù)字信號。因此, 不占用控制芯片的a/d轉(zhuǎn)換資源,而且根據(jù)脈沖數(shù)量,可以計算出電機的位置, 因此在位置控制系統(tǒng)屮往往要求采用脈沖編碼器速度反饋。木系統(tǒng)采用光電編碼器測直流電機的轉(zhuǎn)速,光電編碼器聯(lián)接在直流電機上, 在新的采樣周期到來時,光電編碼器測得的電機速度反饋信號通過encoder接口 反饋到avr單片機atmegaso光電編碼器有4個端口,兩個電源端口兩個脈沖輸 岀端口(a, b)o光電編碼器輸岀信號形式如圖4-13圖4-13光電編碼器輸出信號形式當(dāng)光電編碼器的軸轉(zhuǎn)動時,a, b兩根線都產(chǎn)生脈沖輸出,它輸出a、b兩相相 位相羞90°的

54、正交方波脈沖信號,a相或b相的每個脈沖代表被測對彖旋轉(zhuǎn)的角度 增量,對a相和b和的脈沖信號定時計數(shù)就可以計算出電機的轉(zhuǎn)速,對脈沖信號累 加計數(shù)就可以計算岀電機的轉(zhuǎn)角。a相和b相的相位關(guān)系則反映了電機的旋轉(zhuǎn)方 向。當(dāng)電機止轉(zhuǎn)時,脈沖信號a的相位超前脈沖信號b的相位90°,當(dāng)電機反轉(zhuǎn)時, 脈沖信號a的相位滯后脈沖信號b的相位90°,因此根據(jù)超前與滯后的關(guān)系可以確 定電機的轉(zhuǎn)向。b線用于測量脈沖個數(shù),b線與a線配合可以測量出轉(zhuǎn)動方向。根 據(jù)編碼器的工作原理設(shè)計岀編碼器碩件接口電路如圖4-14所示:圖4-14光電編碼器與單片機硬件連接圖編碼器的a和b兩相信號經(jīng)過上拉電阻,再經(jīng)過cd

55、40106施密特整形,分別接 到avr單片機atmegas的pc5和into引腳上。單片機對into的屮斷次數(shù)計數(shù)來 測量通道b的脈沖數(shù),讀取pc5 (即a相信號)的電平狀態(tài)來判斷電機的轉(zhuǎn)動方向。 以上升沿觸發(fā)為例,當(dāng)b路信號的上升沿引起中斷時,單片機判斷pc5信號的電平 高低。若pc5為低電平,則電機為正轉(zhuǎn),計數(shù)器n值加1;若為高電平,則電機為 反轉(zhuǎn),計數(shù)器n值減1。則電機的速度即為一個采樣周期屮n值的變化量。電機的 轉(zhuǎn)速電機的轉(zhuǎn)速w為:w二cxa7v£式屮c變換系數(shù),可根據(jù)轉(zhuǎn)速的量綱來選擇a7v 一個采樣周期中的計數(shù)值,它的符號反映電機的轉(zhuǎn)動方向7;采樣周期,4.6.2電流檢測電

56、路設(shè)計電流檢測是電流控制的需要,也是屯流保護(hù)的要求。屯流檢測方法有屯阻檢 測,光耦檢測,電流霍爾電流傳感器等方法,電路檢測方式包括:電流采樣,電 流互感器和霍爾傳感器。在本系統(tǒng)的硬件電路設(shè)計屮,采用電流霍爾電流傳感器,霍爾傳感器具有測 量精度高,線性度好,響應(yīng)快,隔離徹底的特點,近來在工業(yè)控制中得到廣泛的 應(yīng)用,霍爾屯流傳感器輸出的小屯流信號經(jīng)過屯壓采樣電路轉(zhuǎn)換為屯壓信號,將 反饋送到atmegas的adc通道進(jìn)行ad轉(zhuǎn)換成數(shù)字量,與電流環(huán)的輸出量進(jìn)行比 較,輸出轉(zhuǎn)速的控制量。atmega8內(nèi)部有10位ad轉(zhuǎn)換,轉(zhuǎn)換精度較高,ad轉(zhuǎn)換 的參考電壓選擇atmegab內(nèi)部的2. 56v參考電壓。本系統(tǒng)電流采樣電路采用霍爾 電流傳感器mrcs檢測流過電動機的電流,通過電流環(huán)調(diào)節(jié)使電動機在調(diào)速的過 程中保持該電流不變,從而保持輸出轉(zhuǎn)矩不變。組成電流電流傳感器的部分有導(dǎo)線、絕緣基片、tnsb-tn磁阻元件mr1/mr2 和永久磁鐵。半導(dǎo)磁阻式電流傳感器(mrcs)的工作原理是將導(dǎo)線電流產(chǎn)生的微 弱磁場轉(zhuǎn)化成和它成正比的電壓信號

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論