單片機(jī)原理實(shí)驗(yàn)指導(dǎo)書2012_第1頁
單片機(jī)原理實(shí)驗(yàn)指導(dǎo)書2012_第2頁
單片機(jī)原理實(shí)驗(yàn)指導(dǎo)書2012_第3頁
已閱讀5頁,還剩24頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、單片機(jī)原理實(shí)驗(yàn)指導(dǎo)書丁易新 編安徽工業(yè)大學(xué)電氣信息學(xué)院2012年 6 月實(shí)驗(yàn) 01 Keil Vision 編程平臺(tái)與匯編指令練習(xí)一、實(shí)驗(yàn)器材1 微型計(jì)算機(jī)2 單片機(jī)硬件開發(fā)實(shí)驗(yàn)裝置3 實(shí)驗(yàn)裝置下載線二、實(shí)驗(yàn)?zāi)康?. 熟悉 uVision3 集成調(diào)試環(huán)境2. 熟悉 MCS-51 尋址方式及傳送類指令三、實(shí)驗(yàn)要求與任務(wù)傳送類指令練習(xí)。單步逐條向下執(zhí)行指令。每執(zhí)行一條指令,都要分析一下指令 源操作數(shù)、目的操作數(shù)在存儲(chǔ)空間的哪個(gè)單元,看一看你所理解的指令執(zhí)行結(jié)果與實(shí) 際運(yùn)行結(jié)果是否符合,并且把每條指令執(zhí)行后目的操作數(shù)的值記錄下來。MCS-51 單片機(jī)的存儲(chǔ)空間如表所示:數(shù)據(jù)空間程序空間片內(nèi) / 片外

2、片內(nèi)片外片內(nèi)片外尋址 方式RAMSFRRAMRAMROMROMROM直接直接間接間接間接間接間接間接尋址尋址尋址尋址尋址尋址空間 范圍00-7FH80-FFH80H-FFH0000-FFFFH0000-0FFFH0000-0FFFH1000H-0FFFFH表示 方法DATASFRIDATAXDATACODECODECODE1直接尋址只能在 DATA 區(qū)和 SFR 中進(jìn)行,如下例ORG 0000HLJMP STARTORG 0100H START:MOV A, 03HMOV 43H, 22HMOV 02H, CMOV 42H, #18HMOV 09H, P1 SJMP $; 此處加入 RAM 的

3、初始化程序(見下文); 把地址 03H 中的數(shù)移入累加器; 把地址 22H 中的數(shù)移入地址 43H 中; 把 Cy 中的數(shù)移入位地址 02H 中; 把立即數(shù) 18 移入地址 42H 中; 把端口 1 中的數(shù)移入地址 09H 中END2間接尋址要使用 DPTR ,PC ,R0, R1 寄存器作為指針訪問各存儲(chǔ)器。可訪 問的空間為 CODE 、IDATA 、XDATA 存儲(chǔ)區(qū),對(duì)DATA 存儲(chǔ)區(qū)也可進(jìn)行間接尋址。只 能用直接尋址方式對(duì)位地址進(jìn)行尋址。 XDATA 區(qū)尋址 :ORG 0000HORG 0100HSTART:MOV DPTR, #3048HMOVX A, DPTR INC DPTR M

4、OV A, #26H MOVX DPTR, A MOV R0, #87H MOVX A, R0 SJMP $ ENDLJMP START此處加入 RAM 的初始化程序(見下文); DPTR 指向外部存儲(chǔ)區(qū); 讀入外部存儲(chǔ)區(qū)地址 3048H 中的數(shù); 指針加一; 立即數(shù) 26H 寫入 A 中; 將 26H 寫入外部存儲(chǔ)區(qū)地址 3049H 中; R0 指向外部存儲(chǔ)區(qū)地址 87H; 將外部存儲(chǔ)區(qū)地址 87H 中的數(shù)讀入累加器中 CODE 區(qū)尋址:查COD區(qū)E 的平方表:ORG 0000HLJMP STARTORG 0100HSTART:MOV DPTR, #TABLE_BASE ; DPTR 指向表

5、首地址MOV A, #5; 把偏移量 (立即數(shù) 5)裝入累加器中MOVC A, A+DPTR; 從表中讀出數(shù)據(jù)到累加器中 (5 的平方 )SJMP $TABLE_BASE:DB 0,1,4,9,16,25,36 ; 平方表END四、實(shí)驗(yàn)步驟: 創(chuàng)建項(xiàng)目 第一次使用,首先為我們編寫的實(shí)驗(yàn)程序在 D 盤上新建一個(gè)文件夾 單片機(jī)實(shí) 驗(yàn); 啟動(dòng) keil uVision3 ,新建一個(gè)項(xiàng)目文件并從器件庫中選擇一個(gè)器件, 操作步驟如下: 啟動(dòng) keil uVision3 ;新建一個(gè)項(xiàng)目文件:從菜單 Project 中選擇 New Project。 選擇保存路徑(至 單片機(jī)實(shí)驗(yàn)) 輸入項(xiàng)目文件名 (例: s

6、hiyan) 點(diǎn)擊保存 選擇 CPU:彈出對(duì)話框 Select Device for Target,為項(xiàng)目選擇 CPU。我們選擇 Atmel 下的 AT89C51。彈出對(duì)話框,詢問是否添加標(biāo)準(zhǔn)的啟動(dòng)代碼到你的項(xiàng)目,不理會(huì),點(diǎn)擊NO。新建一個(gè)源文件從菜單 File 選擇 New 來新建一個(gè)源文件。在 text1 編輯窗口中輸入程序。把程序保存在單片機(jī)實(shí)驗(yàn)。由于是匯編程序,輸入文件名時(shí)一定輸入擴(kuò)展名“ .asm”。例: shiyan.asm。 將你的源文件加入到你的項(xiàng)目中 在你的 Project Workspace窗口中點(diǎn)擊 Target 1前“ +”,展開 Suorce Group 1; 右擊

7、Suorce Group 1,出現(xiàn)菜單,選擇 Add files to Group “Suorce Group 1”選項(xiàng); 彈出對(duì)話框。選擇你剛剛生成的文件。例: shiyan.asm。文件類型選擇 asm source file 點(diǎn)擊 Add 后關(guān)閉對(duì)話框。 編譯(或匯編)你的源程序 點(diǎn)擊菜單 Project 下 Options for Target 彈出對(duì)話框 Options for Target target1'。 Xtal:定義 CPU 時(shí)鐘, 12MHz 。 下面依次是編譯的存儲(chǔ)模式、程序空間大小等設(shè)置,均使用默認(rèn)值即可。 若要生成下載文件( .hex文件),點(diǎn)擊 Outpu

8、t 選項(xiàng),選中 Create Hex Files。 點(diǎn)擊菜單 Project 下 Build Target 即可編譯(或匯編)你的源程序(留意一下,在工 具欄上可找到相應(yīng)快捷按鈕) 。在下面的輸出窗口 Output Window 可看到編譯(匯編)的結(jié)果,應(yīng)該沒有錯(cuò)誤才 可繼續(xù)下面實(shí)驗(yàn)。否則修改你的源程序,直到?jīng)]有錯(cuò)誤為止。 調(diào)試你的程序點(diǎn)擊菜單 Debug下 Start/Stop Debug Session 進(jìn)入調(diào)試模式。Run to你現(xiàn)在就可以采用連續(xù)運(yùn)行、停止、指令單步、函數(shù)單步、運(yùn)行到光標(biāo)處行等命 令調(diào)試你的程序(這些命令在 Debug 下 Run、Stop Running、Step、

9、 Step over, Cursor line。也可利用快捷按鈕) 。你也可以設(shè)置斷點(diǎn)后,連續(xù)執(zhí)行程序進(jìn)行調(diào)試。 如程序運(yùn)行到中間想從頭再來,只要按 RST 按鈕即可。常用調(diào)試快捷按鈕符號(hào)RstRunStopRunningStep into說明復(fù)位連續(xù)運(yùn) 行停止指令單 步Start/StopStep Run tooSvteepr CuRrsuonr tloin eDebugSession函數(shù)單 運(yùn)行到光 編調(diào)輯試模模式式 /切函數(shù)步單 運(yùn)標(biāo)行處到行光 編輯模換式 切 存儲(chǔ)器、寄存器的查看、修改在調(diào)試程序時(shí),經(jīng)常需要查看存儲(chǔ)器內(nèi)容, 你可以查看 8051內(nèi)的 4個(gè)存儲(chǔ)器邏輯 空間的任一個(gè)。在調(diào)試

10、模式下,依次點(diǎn)擊 View,MemoryW indow,你可以看到包含 4 個(gè)存儲(chǔ)器空間 的窗口,你在第一個(gè)存儲(chǔ)器空間的 Address 欄內(nèi)輸入 C:0, 即可看到從 0000H開始的一 段程序存儲(chǔ)內(nèi)容;你也可以在其它 Address 欄內(nèi)分別填入 X:0 、D:0、 I:0 就可以查看 外部數(shù)據(jù)存儲(chǔ)器空間、直接尋址的片內(nèi)存儲(chǔ)空間(包括片內(nèi) 00-7FH的 RAM及, 80H-0FFH 的SFR)、間接尋址的片內(nèi)存儲(chǔ)空間(包括片內(nèi) 00-7FH的RAM及, 8032的 80H-0FFH的 數(shù)據(jù) RAM)。如要修改 Memory Window內(nèi)存儲(chǔ)單元內(nèi)容,可用鼠標(biāo)右鍵點(diǎn)擊選定存儲(chǔ)單元,根 據(jù)

11、提示即可修改。在 Project Workspace 窗口,你還可以看到 8051 的所有寄存器。如要查看單片機(jī)的外圍部件, 像端口 P0-P3,定時(shí)器 T0、T1 等,可點(diǎn)擊 Peripherals。實(shí)驗(yàn) 02 二進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制數(shù)實(shí)驗(yàn)一、實(shí)驗(yàn)器材1 微型計(jì)算機(jī)2 單片機(jī)硬件開發(fā)實(shí)驗(yàn)裝置3 實(shí)驗(yàn)裝置下載線 二、實(shí)驗(yàn)?zāi)康?. 熟悉 uVision3 集成調(diào)試環(huán)境2學(xué)習(xí)掌握 51 單片機(jī)的匯編語言程序設(shè)計(jì)。三、實(shí)驗(yàn)要求與任務(wù)將 RAM 地址 61H,60H 的 16 進(jìn)制數(shù)(高位 61H,低位 60H ),轉(zhuǎn)換成十進(jìn)制數(shù), 結(jié)果送到 44H、43H、42H、41H、40H(最高位 44H,低位

12、在 40H)。程序思路:數(shù)據(jù) -10000,夠減 X 次,則萬位為 X;差值 -1000,夠減 Y 次,則千 位為 Y;差值 -100,夠減 Z 次,則百位為 Z;差值 -10,夠減 U 次,則十位為 U;個(gè)位 為差值。四、實(shí)驗(yàn)步驟: 實(shí)驗(yàn)步驟同實(shí)驗(yàn) 01實(shí)驗(yàn) 03 流水燈實(shí)驗(yàn)一、實(shí)驗(yàn)器材1 微型計(jì)算機(jī)2 單片機(jī)硬件開發(fā)實(shí)驗(yàn)裝置3 實(shí)驗(yàn)裝置下載線二、實(shí)驗(yàn)?zāi)康?. 熟悉 uVision3 集成調(diào)試環(huán)境2學(xué)習(xí)掌握 51 單片機(jī)的 I/O 端口及編程三、實(shí)驗(yàn)電路:VCCVCCVCCLD0RP30LD1220 × 82345LD2LD3LD4LD5LD6VccLEOEQ1Q2D1Q3D2Q4

13、D3Q5D4Q6D5Q7D6Q8D7D8GND74LS37380C51單片機(jī)JD131122334455667788JD30P0.1P0.4P0.0P0.2P0.3P0.5P0.6P0.7LD7圖 1-3 8 位流水燈顯示電路四、實(shí)驗(yàn)要求與任務(wù)1. 設(shè)計(jì)節(jié)日流水燈。 8051 單片機(jī) P0口驅(qū)動(dòng)流水燈:輸出“ 1”時(shí)燈滅,“0”時(shí)燈亮。 流水燈效果如下圖 2-1 所示。圖中表示燈亮, 表示燈滅,流水燈效果在 1-8 狀態(tài)中循環(huán)。LD7L1 水燈 流2-1 圖D0L123456789LD 0LD123456789011156112. 設(shè)計(jì)節(jié)日流水燈。 8051 單片機(jī) P0口驅(qū)動(dòng)流水燈:輸出“

14、1”時(shí)燈滅,“0”時(shí)燈亮。 流水燈效果如上圖 2-2 所示。圖中 表示燈亮, 表示燈滅,流水燈效果在 1-16 狀態(tài)中循環(huán)。五、實(shí)驗(yàn)步驟:1. 系統(tǒng)各跳線器處在初始設(shè)置狀態(tài)(參見附錄) (J1的1,2 處8只短路帽打在左邊,3,4 的 5只短路帽打在右邊) ,MCU的 JD13連接到八位邏輯電平顯示模塊的 JD30。2. 程序輸入、編譯等實(shí)驗(yàn)步驟同實(shí)驗(yàn) 01。3程序下載編程。系統(tǒng)各跳線器處在初始設(shè)置狀態(tài)(參見附錄) (JT40打在左邊, JD18打在右邊), J2 的 P3.0 ,P3.1 打在右邊。打開 STC-ISP V397 軟件。 步驟一:選擇單片機(jī)芯片型號(hào) STC89C52RC 步驟

15、二:打開 hex 文件 步驟三:選擇 COM 口,與設(shè)備管理器(我的電腦,點(diǎn)擊鼠標(biāo)右鍵,選擇設(shè)備管 理器)中端口一致。 步驟四:設(shè)置為 12T/ 單倍速 步驟五: Download/ 下載。關(guān)學(xué)習(xí)機(jī)電源握手接線打開學(xué)習(xí)機(jī)電源 4程序驗(yàn)證。實(shí)驗(yàn) 04 數(shù)碼管顯示實(shí)驗(yàn)一、實(shí)驗(yàn)器材1 微型計(jì)算機(jī)2 單片機(jī)硬件開發(fā)實(shí)驗(yàn)裝置3 實(shí)驗(yàn)裝置下載線二、實(shí)驗(yàn)?zāi)康?. 掌握 8 段數(shù)碼管硬件線路原理;2掌握用 HD7279A芯片實(shí)現(xiàn)數(shù)碼管顯示的編程方法。三、實(shí)驗(yàn)電路HD7279A 是一片具有串行接口,可同時(shí)驅(qū)動(dòng) 8位共陰數(shù)碼管(或 64只獨(dú)立 LED) 的智能顯示驅(qū)動(dòng)芯片,該芯片還可同時(shí)連接多達(dá) 64鍵的鍵盤矩陣

16、。 HD7279A 內(nèi)部含 譯碼器,可直接接受 16 進(jìn)制碼。HD7279A 芯片介紹請(qǐng)見附錄一。a b c dg dp80C51 單片機(jī)P2.7P1.6P1.7P1.3VCCR303.3KVCC1234567892627C30VCCVDDDIG7VDDDIG6NCDIG5VSSDIG4NCDIG3DIG2DIG1CSDIG0CLKDPDATASAKEYSBSCCLK0SDRCSESFRESETSG287279A2524232221201918171615141312111020020020020020020020020015PF四、實(shí)驗(yàn)要求與任務(wù)根據(jù)電路使用 HD7279A 驅(qū)動(dòng)共陰數(shù)碼管,

17、顯示自己學(xué)號(hào)后 3 位(例如: 101)程序可參考附錄 五、實(shí)驗(yàn)步驟:1. 系統(tǒng)各跳線器處在初始設(shè)置狀態(tài)(參見附錄) (J1的1,2 處8只短路帽打在左邊,3,4 的 5只短路帽打在右邊),J3打在 7279處。2. 程序輸入、編譯等實(shí)驗(yàn)步驟同實(shí)驗(yàn) 01。3程序下載編程。系統(tǒng)各跳線器處在初始設(shè)置狀態(tài)(參見附錄) (JT40打在左邊, JD18打在右邊),J2 的 P3.0 ,P3.1 打在右邊。打開 STC-ISP V397 軟件,下載程序(同實(shí)驗(yàn) 03)。六、實(shí)驗(yàn)程序參考框圖:課程設(shè)計(jì)實(shí)驗(yàn) 01 AD 轉(zhuǎn)換實(shí)驗(yàn)一、實(shí)驗(yàn)器材1 微型計(jì)算機(jī)2 單片機(jī)硬件開發(fā)實(shí)驗(yàn)裝置3 實(shí)驗(yàn)裝置下載線二、實(shí)驗(yàn)?zāi)康?/p>

18、1. 掌握 ADC0809 模/數(shù)轉(zhuǎn)換芯片與單片機(jī)的連接方法及 ADC0809 的典型應(yīng)用; 2掌握用查詢方式完成模 / 數(shù)轉(zhuǎn)換程序的編寫方法。三、實(shí)驗(yàn)電路實(shí)驗(yàn)使用 ADC00809 模數(shù)轉(zhuǎn)換器,ADC0809是 8通道 8位CMOS逐次逼近式 A/D 轉(zhuǎn)換芯片。片內(nèi)有模擬量通道選擇開關(guān)及相應(yīng)的通道鎖存、譯碼電路, A/D 轉(zhuǎn)換后的 數(shù)據(jù)由三態(tài)鎖器輸出。由于片內(nèi)沒有時(shí)鐘需外接時(shí)鐘信號(hào)。芯片的引腳如圖 5-1,各引腳功能如下:圖 5-1 ADC0809 引腳圖IN0IN7:八路模擬信號(hào)輸入端。ADD-A 、ADD-B 、ADD-C :三位地址碼輸入端。CLOCK : 外部時(shí)鐘輸入端。 CLOCK

19、 輸入頻率范圍在 10-1280KHz,典型值為 640KHz ,此時(shí) A/D 轉(zhuǎn)換時(shí)間為 100S。51 單片機(jī) ALE 直接或分頻后可與 CLOCK 相連。本實(shí)驗(yàn) CLOCK 信號(hào)由 CPLD Lattice3128 分頻產(chǎn)生( 12MHz 晶振 12 分頻)。D0 D7: 數(shù)字量輸出端。OE(ENABLE ):A/D 轉(zhuǎn)換結(jié)果輸出允許端。當(dāng) OE 為高電平時(shí),允許 A/D 轉(zhuǎn)換結(jié)果從 D0-D7 輸出。ALE : 地址鎖存允許信號(hào)輸入端。 八路模擬通道地址由 A、B、C 輸入,在 ALE 信號(hào)有效時(shí)將地址鎖存。START: 啟動(dòng) A/D 轉(zhuǎn)換信號(hào)輸入端。當(dāng) START 端輸入一個(gè)正脈沖時(shí)

20、,將進(jìn)行 A/D 轉(zhuǎn)換。EOC: A/D 轉(zhuǎn)換結(jié)束信號(hào)輸出端。當(dāng) A/D 轉(zhuǎn)換結(jié)束后, EOC 輸出高電平。Vref(+) 、Vref(-):正負(fù)基準(zhǔn)電壓輸入端?;鶞?zhǔn)正電壓的典型值為 +5V圖 5-2 ADC0809 時(shí)序圖實(shí)驗(yàn)數(shù)碼管顯示部分電路,同實(shí)驗(yàn) 04。實(shí)驗(yàn) ADC0809 電路如下圖 5-3 所示。實(shí)驗(yàn)采用外設(shè)與存儲(chǔ)器同一編址,在使用中可直接將外設(shè)當(dāng)作數(shù)據(jù)存儲(chǔ)器訪問。第0 路ADC 地址為 2f00H(即:CS AD 信號(hào)對(duì)應(yīng) A15A3 為 0010 1111 0000 0。) EOC(A/D 轉(zhuǎn) 換結(jié)束信號(hào)輸出端)取反后接單片機(jī) P1.7,若采用查詢方式完成模 / 數(shù)轉(zhuǎn)換,只需查

21、 詢 P1.7 即可。本實(shí)驗(yàn) CLOCK 信號(hào)由 CPLD Lattice3128 分頻產(chǎn)生( 12MHz 晶振 12 分頻)。圖 5-3 ADC0809 模擬轉(zhuǎn)換電路四、實(shí)驗(yàn)要求與任務(wù)本實(shí)驗(yàn)從 ADC0809 的 IN-0 輸入模擬量 05V ,數(shù)碼管以十進(jìn)制形式顯示模擬量 (單位為伏特)。提示:將 AD 轉(zhuǎn)換結(jié)果 *500/255 的運(yùn)算可簡化為將 AD 轉(zhuǎn)換結(jié)果 *500/256。五、實(shí)驗(yàn)步驟:1. 系統(tǒng)跳線:1)系統(tǒng)各跳線器處在初始設(shè)置狀態(tài) (參見附錄),將 MCU模塊 JT12 跳線器的 C、D、E、 F四只短路帽置為上邊( 1、2 短接),G短路帽置為下面( 2、3 短接)。2)A

22、/D、D/A 模塊 J101 跳線器的短路帽置位左邊; CPLD模塊 JT110 跳線器的短路帽 置位左邊。 J1的都打到左邊 ,J2 的 WR,RD打在左側(cè), J3 打在 CS7279處。2. 程序輸入、編譯等實(shí)驗(yàn)步驟同實(shí)驗(yàn) 01。 3程序下載編程。系統(tǒng)各跳線器處在初始設(shè)置狀態(tài)(參見附錄) (JT40打在左邊, JD18打在右邊), J2 的 P3.0 ,P3.1 打在右邊。打開 STC-ISP V397 軟件,下載程序(同實(shí)驗(yàn) 03)。4數(shù)碼管以十進(jìn)制形式顯示模擬量(單位伏特) ,手動(dòng)調(diào)節(jié)電位器 RP100,改變輸入 模擬量電壓的大小,數(shù)碼管顯示將隨之變化。課程設(shè)計(jì)實(shí)驗(yàn) 02 RS232

23、通信實(shí)驗(yàn)一、實(shí)驗(yàn)器材1 微型計(jì)算機(jī)2 單片機(jī)硬件開發(fā)實(shí)驗(yàn)裝置3 實(shí)驗(yàn)裝置下載線二、實(shí)驗(yàn)?zāi)康?. 了解 8051 串行口的工作原理以及發(fā)送數(shù)據(jù)的方式; 2了解 PC 機(jī)通信的基本要求。三、實(shí)驗(yàn)電路8051 串行口經(jīng) RS232電平轉(zhuǎn)換后,與 PC 機(jī)串行口相連。 PC 機(jī)使用串口調(diào)試應(yīng) 用程序 ,實(shí)現(xiàn)上位機(jī)與下位機(jī)的通訊。波特率設(shè)為 4800。圖 6-1串口通信接口電路四、實(shí)驗(yàn)要求與任務(wù)本實(shí)驗(yàn)從 ADC0809 的 IN-0 輸入模擬量 05V ,數(shù)碼管以十進(jìn)制形式顯示模擬量 (單位為伏特)。將單片機(jī)測得的數(shù)字量送 PC 機(jī)串口( PC上使用串口助手調(diào)試應(yīng)用 程序,可顯示出單片機(jī)傳送的數(shù)據(jù)) 。

24、注:本次實(shí)驗(yàn)要用 PC 機(jī)的兩個(gè)串口,一個(gè)用于 PC 機(jī)向單片機(jī)下載程序(單片 機(jī)實(shí)驗(yàn)裝置上接 SCOM1),一個(gè)串口用于實(shí)驗(yàn) 80C51與 PC通信(單片機(jī)實(shí)驗(yàn)裝置上 接 SCOM2 )。但 PC 只有一個(gè)串口,先接單片機(jī)實(shí)驗(yàn)裝置 SCOM1 ,將實(shí)驗(yàn)程序燒錄 到單片機(jī),再接單片機(jī)實(shí)驗(yàn)裝置 SCOM2,運(yùn)行程序。(串口用 232 平行線)。五、實(shí)驗(yàn)步驟:1. 程序輸入、編譯等實(shí)驗(yàn)步驟同實(shí)驗(yàn) 01。 2程序下載編程。系統(tǒng)各跳線器處在初始設(shè)置狀態(tài)(參見附錄) (JT40打在左邊, JD18打在右邊), J2 的 P3.0 ,P3.1 打在右邊。打開 STC-ISP V397 軟件,下載程序(同實(shí)

25、驗(yàn) 03)。3實(shí)驗(yàn)箱上的 CON2和 PC的串行口相連, J1 的 P1.0,P1.1 打在左邊, D18的四只短 路帽打在右邊。4打開串口助手調(diào)試應(yīng)用程序,選擇下列屬性:波特率 4800數(shù)據(jù)位 8奇偶校驗(yàn)無停止位 15調(diào)試運(yùn)行程序附錄一: HD7279A 芯片介紹HD7279A 是一片具串行接口的,可同時(shí)驅(qū)動(dòng) 8 位共陰式數(shù)碼管的智能顯示驅(qū)動(dòng) 芯片,該芯片同時(shí)還可以連接多達(dá) 64鍵的鍵盤矩陣,單片即可完成 LED 顯示,鍵盤 接口的全部功能。1.主要特性(1) .串行接口,無需外圍元件可直接驅(qū)動(dòng) LED 。(2) .各位獨(dú)立控制譯碼 /不譯碼及消隱和閃爍屬性。(3) .(循環(huán))左移 /(循環(huán)

26、)右移指令。(4) .具有段尋址指令,方便控制獨(dú)立 LED 。(5) .64 鍵鍵盤控制器,內(nèi)含去抖動(dòng)電路。2.引腳說明:VDD: 正電源CS: 片選DATA: 串行數(shù)據(jù)輸入 /輸出端 KEY: 按鍵有效輸出端 SG-SA: 段 g段 a 驅(qū)動(dòng)輸出 DP: 小數(shù)點(diǎn)驅(qū)動(dòng)輸出RC: RC 振蕩器連接端VSS: 地CLK: 時(shí)鐘輸入端CLK0: 振蕩輸出端RES: 復(fù)位端DIG0-7: 數(shù)位 0-7 驅(qū)動(dòng)輸出3. HD7279A 硬件電路:(1) .HD7279A 應(yīng)連接共陰式數(shù)碼管。(2) .應(yīng)用中,無需用到的鍵盤和數(shù)碼管可以不連接。(3) .應(yīng)用中,串入 DP及 SASG連接的 8只電阻為 20

27、0歐。(4) .應(yīng)用中, 8 只下拉電阻和 8只鍵盤連接位選線 DIG0DIG7 的電阻,應(yīng)遵從一 定的比例關(guān)系,典型值為 10 倍,下拉電阻的取值范圍是 10K100K,位選電阻的取值 圍是 1K 10K。(5) .HD7279A 需要一外接的 RC振蕩電路以供系統(tǒng)工作, 其典型值分別為 R1.5K , C15pF。(6) .HD7279A 的 RESET 復(fù)位端在一般應(yīng)用情況下,可以直接與正電源連接,在 需要較高可靠性的情況下,可以連接一外部的復(fù)位電路,或直接由 MCU (單片機(jī)) 控制。在上電或 RESET端由低電平變?yōu)楦唠娖胶螅?HD7279A 大約需要經(jīng)過 18-25MS 的時(shí)間才會(huì)

28、進(jìn)入正常工作狀態(tài)。4. 控制指令HD7279A 的控制指令分為二大類純指令和帶有數(shù)據(jù)的指令。主要控制指令如下:A. 純指令(1). 復(fù)位(清除)指令 A4HD7D6D5D4D3D2D1D010100100當(dāng) HD7279A 收到該指令后,將所有的顯示清除,所有設(shè)置的字符消隱、閃爍等 屬性也被一起清除。執(zhí)行該指令后,芯片所處的狀態(tài)與系統(tǒng)上電后所處的狀態(tài)一樣。(2). 左移指令 A1HD7D6D5D4D3D2D1D010100001例如,原顯示為 :4252LP39其中第 2位3'和第 4位L'為閃爍顯示。 執(zhí)行了左移指令后,顯示變?yōu)椋?52LP39第 2 位 9'和第 4

29、 位P'為閃爍顯示。(3)右移指令 A0HD7D6D5D4D3D2D1D010100000與左移指令類似,但所做移動(dòng)為自左向右移動(dòng),移動(dòng)后,最左邊一位為空B帶有數(shù)據(jù)的指令(1). 下載數(shù)據(jù)且按方式 0 譯碼D7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D010000a2a1a0DPXXXd3d2d1d0命令由二字節(jié)組成, 前半部分為指令, 其中 a2,a1,a0為位地址。 d0d3 為數(shù)據(jù), 收到此指令時(shí),按以下規(guī)則進(jìn)行譯碼。小數(shù)點(diǎn)的顯示由 DP 位控制:DP=1時(shí),小數(shù)點(diǎn)顯示, DP=0 時(shí),小數(shù)點(diǎn)不顯示(2)下載數(shù)據(jù)且按方式 1 譯碼D7D6D5D4D3D2D1D0D

30、7D6D5D4D3D2D1D011001a2a1a0DPXXXd3d2d1d0此指令與上一條指令其本相同,所不同的是譯碼方式。(3)下載數(shù)據(jù)但不譯碼管的各段。當(dāng)相應(yīng)的數(shù)據(jù)位為 1時(shí)',該段點(diǎn)亮,否則不亮。此指令靈活,通過造字形 表,可以顯示用戶所需的字符。(4)閃爍控制 88HD7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D010001000d8d7d6d5d4d3d2d1此命令控制各個(gè)數(shù)碼管的閃爍屬性, d1d8 分別對(duì)應(yīng)數(shù)碼管 1-8。0=閃爍, 1=不閃 爍。開機(jī)后,缺省的狀態(tài)為各位均不閃爍。(5). 讀鍵盤數(shù)據(jù)指令 15HD7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D000010101d7d6d5d4d3d2d1d0該指令從 HD7279A 讀出當(dāng)前的按鍵代碼。前一個(gè)字節(jié) 015H 為指令代碼,而后 一個(gè)字節(jié) d0-d7 則為 HD7279A 返回的按鍵代碼,其范圍是 00H-3FH(無鍵按下時(shí)為 FFH)。當(dāng) HD7279A 檢測到有效的按鍵時(shí), KEY 引腳從高電平變?yōu)榈碗娖剑⒁恢北3?到按鍵結(jié)束。在此期間,如果 HD7279A 接收到讀鍵盤數(shù)據(jù)指令' ,則輸出當(dāng)前按鍵 的鍵盤代碼;如果在收到讀鍵盤指令'時(shí)沒有有效按鍵, HD7279A 將輸出 F

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論