直流電機(jī)調(diào)速控制器_第1頁
直流電機(jī)調(diào)速控制器_第2頁
直流電機(jī)調(diào)速控制器_第3頁
直流電機(jī)調(diào)速控制器_第4頁
直流電機(jī)調(diào)速控制器_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、2012 2013 學(xué)年 第 2 學(xué)期 數(shù)字系統(tǒng)設(shè)計(jì) 課 程 設(shè) 計(jì) 報(bào) 告題 目:直流電機(jī)調(diào)速控制器設(shè)計(jì) 專 業(yè): 電子信息工程 班 級(jí): 10電信(2)班 指導(dǎo)教師: 電氣工程系2013年5月27日1、任務(wù)書課題名稱直流電機(jī)調(diào)速控制器設(shè)計(jì)指導(dǎo)教師 執(zhí)行時(shí)間20122013學(xué)年第二學(xué)期 第 14 周學(xué)生姓名學(xué)號(hào)承擔(dān)任務(wù)評(píng)分系統(tǒng)仿真及調(diào)試總體系統(tǒng)方案設(shè)計(jì)PWM脈寬調(diào)制信號(hào)產(chǎn)生電路的設(shè)計(jì)控制電路的設(shè)計(jì)VHDL程序編寫文檔編輯撰寫設(shè)計(jì)要求設(shè)計(jì)一個(gè)直流電機(jī)PWM調(diào)速控制器,并能進(jìn)行正反轉(zhuǎn)控制。摘 要 在現(xiàn)代工業(yè)生產(chǎn)中電機(jī)是不可或缺的,電機(jī)分為交流電機(jī)和直流的電機(jī),而直流電機(jī)的主要優(yōu)點(diǎn)是能夠在較大的范

2、圍內(nèi)調(diào)速,因此直流電機(jī)在生產(chǎn)和生活中也得到廣泛的應(yīng)用。直流電動(dòng)機(jī)轉(zhuǎn)速的控制方法可分為倆類,即勵(lì)磁控制法與電樞電壓控制法。PWM(脈寬調(diào)制)是常用的一種調(diào)速方法,其基本原理是用改變電機(jī)電樞電壓的接通和斷開的時(shí)間比來控制馬達(dá)的速度,在脈寬調(diào)速系統(tǒng)中,當(dāng)電機(jī)通電時(shí),其速度增加,電機(jī)斷電時(shí),其速度減低。要按照一定的規(guī)律改變通、斷電的時(shí)間,即可使電機(jī)的速度達(dá)到并保持一穩(wěn)定值。并且結(jié)合VHDL語言實(shí)現(xiàn)硬件設(shè)計(jì)軟件化。關(guān)鍵字:PWM,直流電機(jī),VHDL23目 錄第一章 方案設(shè)計(jì)11.1 直流電機(jī)基本結(jié)構(gòu)11.2 直流電機(jī)調(diào)速原理11.3 基于FPGA的直流電機(jī)調(diào)速方案2第二章 直流電機(jī)PWM調(diào)速控制電路設(shè)計(jì)

3、42.1 總體設(shè)計(jì)42.2 系統(tǒng)工作原理42.3 鍵盤電路設(shè)計(jì)52.4 系統(tǒng)時(shí)鐘電路設(shè)計(jì)72.5 H型橋式驅(qū)動(dòng)電路設(shè)計(jì)82.6 電源電路設(shè)計(jì)10第三章 控制邏輯VHDL描述113.1 FPGA內(nèi)部邏輯組成113.2 PWM脈寬調(diào)制信號(hào)產(chǎn)生電路描述113.3 運(yùn)行控制邏輯電路描述15第四章 直流電機(jī)PWM調(diào)速系統(tǒng)仿真174.1 FPGA開發(fā)環(huán)境的介紹174.2 正/反轉(zhuǎn)控制仿真184.3 啟/??刂品抡?94.4 加/減速仿真194.5 仿真結(jié)果分析20總 結(jié)22參考文獻(xiàn)23第一章 方案設(shè)計(jì)1.1 直流電機(jī)基本結(jié)構(gòu) 直流電機(jī)是通過兩個(gè)磁場(chǎng)的互作用產(chǎn)生旋轉(zhuǎn)。固定部分(定子)上,裝設(shè)了一對(duì)直流勵(lì)磁的

4、靜止的主磁極N和S,在旋轉(zhuǎn)部分(轉(zhuǎn)子)上裝設(shè)電樞鐵心。定子與轉(zhuǎn)子之間有一氣隙。在電樞鐵心上放置了由A和X 兩根導(dǎo)體連成的電樞線圈,線圈的首端和末端分別連到兩個(gè)圓弧形的銅片上,此銅片稱為換向片。換向片之間互相絕緣,由換向片構(gòu)成的整體稱為換向器。換向器固定在轉(zhuǎn)軸上,換向片與轉(zhuǎn)軸之間亦互相絕緣。在換向片上放置著一對(duì)固定不動(dòng)的電刷B1和B2,當(dāng)電樞旋轉(zhuǎn)時(shí),電樞線圈通過換向片和電刷與外電路接通。 定子通過永磁體或受激勵(lì)電磁鐵產(chǎn)生一個(gè)固定磁場(chǎng),由于轉(zhuǎn)子由一系列電磁體構(gòu)成,當(dāng)電流通過其中一個(gè)繞組時(shí)會(huì)產(chǎn)生一個(gè)磁場(chǎng)。對(duì)有刷直流電機(jī)而言,轉(zhuǎn)子上的換向器和定子的電刷在電機(jī)旋轉(zhuǎn)時(shí)為每個(gè)繞組供給電能。通電轉(zhuǎn)子繞組與定子

5、磁體有相反極性,因而相互吸引,使轉(zhuǎn)子轉(zhuǎn)動(dòng)至與定子磁場(chǎng)對(duì)準(zhǔn)的位置。當(dāng)轉(zhuǎn)子到達(dá)對(duì)準(zhǔn)位置時(shí),電刷通過換向器為下一組繞組供電,從而使轉(zhuǎn)子維持旋轉(zhuǎn)運(yùn)動(dòng)。直流電機(jī)的速度與施加的電壓成正比,輸出轉(zhuǎn)矩則與電流成正比。由于必須在工作期間改變直流電機(jī)的速度,直流電機(jī)的控制是一個(gè)較困難的問題。直流電機(jī)高效運(yùn)行的最常見方法是施加一個(gè) PWM(脈寬調(diào)制)方波,其占空比對(duì)應(yīng)于所需速度。電機(jī)起到一個(gè)低通濾波器作用,將PWM信號(hào)轉(zhuǎn)換為有效直流電平。特別是對(duì)于微處理器驅(qū)動(dòng)的直流電機(jī),由于PWM信號(hào)相對(duì)容易產(chǎn)生,這種驅(qū)動(dòng)方式使用的更為廣泛。1.2 直流電機(jī)調(diào)速原理 所謂脈沖寬度調(diào)制是指用改變電機(jī)電樞電壓接通與斷開的時(shí)間的的占空比

6、來控制電機(jī)轉(zhuǎn)速的方法,稱為脈沖寬度調(diào)制(PWM)。 對(duì)于直流電機(jī)調(diào)速系統(tǒng),使用FPGA進(jìn)行調(diào)速是極為方便的。其方法是通過改變電機(jī)電樞電壓導(dǎo)通時(shí)間與通電時(shí)間的比值(即占空比)來控制電機(jī)速度。PWM調(diào)速原理如圖1.1所示。 在脈沖作用下,當(dāng)電機(jī)通電時(shí),速度增加;電機(jī)斷電時(shí),速度逐漸減少。只要按一定規(guī)律,改變通、斷電時(shí)間,即可讓電機(jī)轉(zhuǎn)速得到控制。設(shè)電機(jī)永遠(yuǎn)接通電源時(shí),其轉(zhuǎn)速最大為Vmax,設(shè)占空比為D=t1/T,則電機(jī)的平均速度為 Vd=Vmax·D 式中,Vd電機(jī)的平均速度 Vmax電機(jī)全通時(shí)的速度(最大) D=t1/T占空比 平均速度Vd與占空比D的函數(shù)曲線,如圖1.2所示。圖1.1

7、PWM調(diào)速原理圖1.2 平均速度和占空比的關(guān)系 由圖1.2所示可以看出,Vd與占空比D并不是完全線性關(guān)系(圖中實(shí)線),當(dāng)系統(tǒng)允許時(shí),可以將其近似地看成線性關(guān)系(圖中虛線)。因此也就可以看成電機(jī)電樞電壓Ua與占空比D成正比,改變占空比的大小即可控制電機(jī)的速度。 由以上敘述可知:電機(jī)的轉(zhuǎn)速與電機(jī)電樞電壓成比例,而電機(jī)電樞電壓與控制波形的占空比成正比,因此電機(jī)的速度與占空比成比例,占空比越大,電機(jī)轉(zhuǎn)得越快,當(dāng)占空比1時(shí),電機(jī)轉(zhuǎn)速最大。1.3 基于FPGA的直流電機(jī)調(diào)速方案圖 1.3 基于FPGA的直流電機(jī)調(diào)速系統(tǒng) 如圖1.3所示為基于FPGA的直流電機(jī)調(diào)速方案的方框圖,用FPGA產(chǎn)生PWM波形,只需

8、要FPGA內(nèi)部資源就可以實(shí)現(xiàn),如數(shù)字比較器、鋸齒波發(fā)生器等均為FPGA內(nèi)部資源,我們只要直接調(diào)用就可以。外部端口U_D、EN1、Z/F、START接在鍵盤電路上,CLK2和CLK0接在外部時(shí)鐘電路上,所用到的時(shí)鐘頻率為100MHz和50MHz,其具體的連接方式如圖2.1。 其工作原理是:設(shè)定值計(jì)數(shù)器的設(shè)置PWM的占空比。當(dāng)U/D=1時(shí),輸入CLK2,使設(shè)定值計(jì)數(shù)器的輸出值增加, PWM的占空比增加,電機(jī)轉(zhuǎn)速加快;當(dāng)U/D =0時(shí),輸入CLK2,使設(shè)定值計(jì)數(shù)器的輸出值減小,PWM的占空比減小,電機(jī)轉(zhuǎn)速變慢。在CLK0的作用下,鋸齒波計(jì)數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計(jì)數(shù)值小于設(shè)定值時(shí),數(shù)字比較

9、器輸出高電平;當(dāng)計(jì)數(shù)值大于設(shè)定值時(shí),數(shù)字比較器輸出低電平,由此產(chǎn)生周期性的PWM波形。 旋轉(zhuǎn)方向控制電路控制直流電動(dòng)機(jī)轉(zhuǎn)向和啟/停,該電路由兩個(gè)2選1的多路選擇器組成,Z/F鍵控制選擇PWM波形是從正端Z進(jìn)入H橋,還是從負(fù)端F進(jìn)入H橋,以控制電機(jī)的旋轉(zhuǎn)方向。當(dāng)Z/F=1時(shí),PWM輸出波形從正端Z進(jìn)入H橋,電機(jī)正轉(zhuǎn)。當(dāng) Z/F =0時(shí),PWM輸出波形從負(fù)端F進(jìn)入H橋,電機(jī)反轉(zhuǎn)。Start鍵通過“與”門控制PWM輸出,實(shí)現(xiàn)對(duì)電機(jī)的工作停止/控制。當(dāng)START=1時(shí),與門打開,允許電機(jī)工作。當(dāng)START=0時(shí),與門關(guān)閉,電機(jī)停止轉(zhuǎn)動(dòng)。 H橋電路由大功率晶體管組成,PWM輸出波形通過方向控制電路送到

10、H 橋, 經(jīng)功率放大以后對(duì)直流電機(jī)實(shí)現(xiàn)四象限運(yùn)行。并由EN1信號(hào)控制是否允許變速。第二章 直流電機(jī)PWM調(diào)速控制電路設(shè)計(jì)2.1 總體設(shè)計(jì) 如圖2.1所示,基于FPGA的直流電機(jī)PWM控制電路主要由四部分組成:控制命令輸入模塊、控制命令處理模塊、控制命令輸出模塊、電源模塊。鍵盤電路、時(shí)鐘電路是系統(tǒng)的控制命令輸入模塊,向FPGA芯片發(fā)送命令,F(xiàn)PGA芯片是系統(tǒng)控制命令的處理模塊,負(fù)責(zé)接收、處理輸入命令并向控制命令輸出模塊發(fā)出PWM信號(hào),是系統(tǒng)的控制核心??刂泼钶敵瞿K由H型橋式直流電機(jī)驅(qū)動(dòng)電路組成,它負(fù)責(zé)接收由FPGA芯片發(fā)出的PWM信號(hào),從而控制直流電機(jī)的正反轉(zhuǎn)、加速以及在線調(diào)速。電源模塊負(fù)責(zé)

11、給整個(gè)電路供電,保證電路能夠正常的運(yùn)行。圖2.1 FPGA直流電機(jī)PWM 控制電路2.2 系統(tǒng)工作原理 在圖2.1中所示的FPGA是根據(jù)設(shè)計(jì)要求設(shè)計(jì)好的一個(gè)芯片,其內(nèi)部邏輯電路如圖3.1。 START是電機(jī)的開啟端,U_D控制電機(jī)加速與減速,EN1用于設(shè)定電機(jī)轉(zhuǎn)速的初值,Z_F是電機(jī)的方向端口,選擇電機(jī)運(yùn)行的方向。CLK2和CLK0是外部時(shí)鐘端,其主要作用是向FPGA控制系統(tǒng)提供時(shí)鐘脈沖,控制電機(jī)進(jìn)行運(yùn)轉(zhuǎn)。 通過鍵盤設(shè)置PWM信號(hào)的占空比。當(dāng)U_D=1時(shí), 表明鍵U_D按下,輸入CLK2使電機(jī)轉(zhuǎn)速加快;當(dāng)U/D =0,表明鍵U_D松開,輸入CLK2使電機(jī)轉(zhuǎn)速變慢,這樣就可以實(shí)現(xiàn)電機(jī)的加速與減速

12、。 Z_F鍵是電機(jī)運(yùn)轉(zhuǎn)的方向按鍵,當(dāng)把Z_F鍵按下時(shí),Z_F=1,電機(jī)正轉(zhuǎn);反之Z/F =0時(shí),電機(jī)反轉(zhuǎn)。 START是電機(jī)的開啟鍵,當(dāng)START=1,允許電機(jī)工作;當(dāng)START=0時(shí),電機(jī)停止轉(zhuǎn)動(dòng)。 H橋電路由大功率晶體管組成,PWM輸出波形通過由兩個(gè)二選一電路組成的方向控制電路送到 H 橋, 經(jīng)功率放大以后對(duì)直流電機(jī)實(shí)現(xiàn)四象限運(yùn)行。并由EN1信號(hào)控制是否允許變速。2.3 鍵盤電路設(shè)計(jì) 本設(shè)計(jì)系統(tǒng)的命令輸入模塊是鍵盤電路和時(shí)鐘電路,通過以按鍵的方式向FPGA控制系統(tǒng)表達(dá)人的命令來實(shí)現(xiàn)直流電機(jī)的正轉(zhuǎn)、反轉(zhuǎn)、停止和加減速,實(shí)現(xiàn)人機(jī)互換。下面就對(duì)鍵盤電路和時(shí)鐘電路的類型以及工作原理分別進(jìn)行論述。

13、鍵盤電路有兩種類型,其中一種是獨(dú)立式鍵盤電路。獨(dú)立式鍵盤電路結(jié)構(gòu)簡(jiǎn)單、操作方便,在目前這種結(jié)構(gòu)的鍵盤應(yīng)用還非常普遍。只是這種鍵盤電路的每個(gè)按鍵都要占用一根I/O口線,這樣的話,隨著按鍵的增加將使I/O口線不足。因此,這種鍵盤電路只有在按鍵比較少的情況下比較適用。另一種鍵盤電路是矩陣式鍵盤電路,這種鍵盤電路的按鍵設(shè)置在行線和列線的交叉點(diǎn)上,因此在有限的I/O口線上可以設(shè)置比較多的按鍵。只是這種鍵盤電路結(jié)構(gòu)、編程都比較復(fù)雜。在鍵盤電路中,往往可以與一個(gè)與非門電路構(gòu)成帶中斷的鍵盤電路。這種鍵盤電路上的每個(gè)按鍵可以單獨(dú)工作,而且響應(yīng)時(shí)間快。這種帶中斷式的鍵盤電路現(xiàn)在應(yīng)用已經(jīng)相當(dāng)?shù)钠毡椤?如圖2.2所示

14、,所采用的鍵盤電路是獨(dú)立式鍵盤電路。其4個(gè)功能鍵SB1SB4連線分別接在FPGA控制系統(tǒng)的4個(gè)端口上,并分別往上各引一條接線串一個(gè)1K的上拉電阻接在+5V電源上。當(dāng)4個(gè)鍵都沒有被按下去時(shí),對(duì)應(yīng)的各條列線全部為高電平,在CMOS非門的作用下每個(gè)端口的電平為低電平。其中一個(gè)按鈕按下去時(shí),其對(duì)應(yīng)的輸出端口在非門的作用下由低電平變?yōu)楦唠娖?,從而啟?dòng)相應(yīng)的功能。圖2.2 鍵盤電路 在鍵盤電路設(shè)計(jì)中,需要解決按鍵抖動(dòng)的問題。多數(shù)鍵盤的按鍵均采用機(jī)械彈性開關(guān),一個(gè)電信號(hào)通過機(jī)械觸點(diǎn)的斷開、閉合過程,完成高低電平的切換。由于機(jī)械觸點(diǎn)的彈性作用,一個(gè)按鍵開關(guān)在閉合和斷開的瞬間必然伴隨一連串的抖動(dòng)。為了排除抖動(dòng)的

15、影響,在按鍵和輸出端并上一個(gè)電阻、一個(gè)電容。如圖2.3所示。圖2.3 濾波防抖動(dòng)電路 由圖可知,當(dāng)鍵SB1未按下時(shí),電容C兩端的電壓均為1,非門輸出為0。當(dāng)鍵SB1按下時(shí),由于C兩端電壓不可能產(chǎn)生突變。盡管接觸過程中可能出現(xiàn)抖動(dòng),只要適當(dāng)?shù)倪x擇R和C值,即可保證電容C兩端的放電電壓波動(dòng)不會(huì)超過非門的開啟電壓(TTL為0.8V),非門的輸出將維持低電平。同理,當(dāng)觸點(diǎn)K斷開時(shí),由于電容C經(jīng)過R2充電,C兩端的充電電壓波動(dòng)不會(huì)超過非門的關(guān)閉電壓,因此,非門的輸出也不會(huì)改變,從而達(dá)到防抖動(dòng)的效果。2.4 系統(tǒng)時(shí)鐘電路設(shè)計(jì) FPGA是在系統(tǒng)時(shí)鐘脈沖作用下進(jìn)行的,在FPGA應(yīng)用系統(tǒng)中,要求采用石英晶振作為

16、時(shí)鐘脈沖,如圖2.4所示,是采用有源石英晶振構(gòu)成的系統(tǒng)時(shí)鐘電路。在該電路中,1腳懸空,2腳接地,3腳接輸出,4腳接電源。3腳時(shí)鐘脈沖輸出后接在FPGA的CLK0時(shí)鐘端,另一路經(jīng)二分頻電路進(jìn)行分頻后接在CLK2時(shí)鐘端。在CLK0和CLK2的共同作用下,系統(tǒng)進(jìn)行工作。 時(shí)鐘輸入是系統(tǒng)電路中必不可少的一部分,它能為FPGA提供時(shí)鐘脈沖信號(hào),考慮到EDA開發(fā)系統(tǒng)時(shí)鐘輸入的重要性,一個(gè)是50MHz的有源晶振作為時(shí)鐘信號(hào)源輸入,主要用于輸入大的時(shí)鐘信號(hào),為波形發(fā)生器提供基準(zhǔn)的時(shí)鐘脈沖輸入。圖2.4 時(shí)鐘電路圖 有源晶振的驅(qū)動(dòng)能力強(qiáng),晶振頻率比較大,能達(dá)到幾百兆Hz,采用有源晶振作為時(shí)鐘源可以使電路的時(shí)鐘擴(kuò)

17、大。HO-12系列的有源晶振采用TTL/HCMOS技術(shù),頻率范圍是1000Hz-1000MHz,這里我們采用的是100MHz的有源晶振。 把D觸發(fā)器的輸出反饋回輸入端與D連接就形成一個(gè)二分頻電路,如圖2.5所示,從波形圖可以看出Q輸出的波形將是CP脈沖周期的兩倍,即頻率是為CP脈沖的一半。2.5 D觸發(fā)器接成二分頻電路2.5 H型橋式驅(qū)動(dòng)電路設(shè)計(jì) 直流電機(jī)驅(qū)動(dòng)電路使用最廣泛的就是H型全橋式驅(qū)動(dòng)電路,這種驅(qū)動(dòng)電路可以很方便實(shí)現(xiàn)直流電機(jī)的四象限運(yùn)行,分別對(duì)應(yīng)正轉(zhuǎn)、正轉(zhuǎn)制動(dòng)、反轉(zhuǎn)、反轉(zhuǎn)制動(dòng)。它的基本原理圖如圖2.6所示。圖2.6 H型全橋式驅(qū)動(dòng)電路 H型全橋式驅(qū)動(dòng)電路的4只三極管都工作在斬波狀態(tài),V

18、1、V4為一組,V2、V3為另一組,兩組的狀態(tài)互補(bǔ),一組導(dǎo)通則另一組必須關(guān)斷。當(dāng)V1、V4導(dǎo)通時(shí),V2、V3關(guān)斷,電機(jī)兩端加正向電壓,可以實(shí) 現(xiàn)電機(jī)的正轉(zhuǎn)或反轉(zhuǎn)制動(dòng);當(dāng)V2、V3導(dǎo)通時(shí),V1、V4關(guān)斷,電機(jī)兩端為反向電壓,電機(jī)反轉(zhuǎn)或正轉(zhuǎn)制動(dòng)。在直流電機(jī)運(yùn)轉(zhuǎn)的過程中,我們要不斷地使電機(jī)在四個(gè)象限之間切換,即在正轉(zhuǎn)和反轉(zhuǎn)之間切換,也就是在V1、V4導(dǎo)通且V2、V3關(guān)斷,到V1、V4關(guān)斷且V2、V3導(dǎo)通,這兩種狀態(tài)之間轉(zhuǎn)換。在這種情況下,理論上要求兩組控制信號(hào)完全互補(bǔ),但是,由于實(shí)際的開關(guān)器件都存在開通和關(guān)斷時(shí)間,絕對(duì)的互補(bǔ)控制邏輯 必然導(dǎo)致上下橋臂直通短路,比如在上橋臂關(guān)斷的過程中,下橋臂導(dǎo)通了。

19、這個(gè)過程可用圖2.7說明。圖2.7 因此,為了避免直通短路且保證各個(gè)開關(guān)管動(dòng)作之間的同步性,兩組控制信號(hào)在理論上要求互為倒相的邏輯關(guān)系,而實(shí)際上卻必須相差一個(gè)足夠的死區(qū)時(shí)間,這個(gè)矯正過程既可以通過硬件實(shí)現(xiàn),即在上下橋臂的兩組控制信號(hào)之間增加延時(shí)。 驅(qū)動(dòng)電流不僅可以通過主開關(guān)管流通,而且還可以通過續(xù)流二極管流通。當(dāng)電機(jī)處于制動(dòng)狀態(tài)時(shí),電機(jī)便工作在發(fā)電狀態(tài),轉(zhuǎn)子電流必須通過續(xù)流二極管流通,否則電機(jī)就會(huì)發(fā)熱,嚴(yán)重時(shí)燒毀。 開關(guān)管的選擇對(duì)驅(qū)動(dòng)電路的影響很大,開關(guān)管的選擇宜遵循以下原則: (1)由于驅(qū)動(dòng)電路是功率輸出,要求開關(guān)管輸出功率較大 (2)開關(guān)管的開通和關(guān)斷時(shí)間應(yīng)盡可能小 (3)直流電機(jī)使用的電

20、源電壓不高,因此開關(guān)管的飽和壓降應(yīng)該盡量低 在實(shí)際制作中,我們可選用大功率達(dá)林頓管TIP122或場(chǎng)效應(yīng)管IRF530,效果都還不錯(cuò)。現(xiàn)在為了取材方便,我們選用三極管作為驅(qū)動(dòng)電路的開關(guān)管。 從前面的分析可知,H型全橋式驅(qū)動(dòng)電路中,由于開關(guān)管有開通和關(guān)斷時(shí)間,因此存在上下橋臂直通短路的問題。直通短路的存在,容易使開關(guān)管發(fā)熱,嚴(yán)重時(shí)燒毀開關(guān)管,同時(shí)也增加了開關(guān)管的能量損耗。由于現(xiàn)在的許多集成驅(qū)動(dòng)芯片內(nèi)部已經(jīng)內(nèi)置了死區(qū)保護(hù)(如LMD18200),這里主要介紹的是利用開關(guān)管等分立元件以及沒有死區(qū)保護(hù)的集成芯片制作驅(qū)動(dòng)電路時(shí)增加死區(qū)的方法。 死區(qū)時(shí)間的問題,只有在正轉(zhuǎn)變?yōu)榉崔D(zhuǎn)或者反轉(zhuǎn)變?yōu)檎D(zhuǎn)的時(shí)候才存在,

21、而在正轉(zhuǎn)啟動(dòng)或反轉(zhuǎn)啟動(dòng)的時(shí)候并沒有,因此不需要修正。如果開關(guān)管的開通和關(guān)斷時(shí)間非常小,或者在硬件電路中增加延時(shí)環(huán)節(jié),都可以降低開關(guān)管的損耗和發(fā)熱。當(dāng)然,通過軟件避免直通短路是最好的辦法,它的操作簡(jiǎn)單,控制靈活。通過軟件實(shí)現(xiàn)死區(qū)時(shí)間,就是在突然換向的時(shí)候,插入一個(gè)延時(shí)的環(huán)節(jié),待開關(guān)管關(guān)斷之后,再開通應(yīng)該開通的開關(guān)管。在開關(guān)管每次換向的時(shí)候,不立即進(jìn)行方向的切換,而是先使開關(guān)管關(guān)斷一段時(shí)間,使其完全關(guān)斷后再換向打開另外的開關(guān)管。這個(gè)關(guān)斷時(shí)間由軟件延時(shí)實(shí)現(xiàn)。 以上主要分析了電機(jī)的全橋式驅(qū)動(dòng)電路,這是直流電機(jī)調(diào)速使用最多的調(diào)速方法。目前市場(chǎng)上有很多種電機(jī)驅(qū)動(dòng)的集成電路,效率高,電路簡(jiǎn)單,使用也比較廣泛

22、,但是其驅(qū)動(dòng)方法大多與全橋式驅(qū)動(dòng)一樣。PWM控制方法配合橋式驅(qū)動(dòng)電路,是目前直流電機(jī)調(diào)速最普遍的方法。2.6 電源電路設(shè)計(jì) 由于電機(jī)在正常工作時(shí)對(duì)電源的干擾很大,如果只用一組電源時(shí)會(huì)影響系統(tǒng)的正常工作,所以我們選用雙電源供電。一組5V給控制電路供電, 另外一組12V給電機(jī)供電。 如圖3.8所示。電源部分分為兩路,一路直接提供12伏的直流電源,主要是提供給電機(jī)使用,另一路通過三端穩(wěn)壓芯片7805穩(wěn)壓成5伏直流電源提供給鍵盤電路和時(shí)鐘電路使用,右邊兩個(gè)電容是5伏電源的濾波電容,綠色的LED作為工作指示燈,只要電源部分正常,綠色的LED就會(huì)點(diǎn)亮,我們可以根據(jù)這個(gè)LED來判斷整個(gè)電源部分是否工作正常。

23、2.8 電源電路第三章 控制邏輯VHDL描述3.1 FPGA內(nèi)部邏輯組成圖3.1 FPGA直流電機(jī)PWM 控制電路 由圖3.1可以看出電機(jī)控制邏輯模塊由PWM脈寬調(diào)制信號(hào)產(chǎn)生電路、方向控制電路組成。其中PWM脈寬調(diào)制信號(hào)產(chǎn)生電路由可控的加減計(jì)數(shù)器CNTA、5位二進(jìn)制計(jì)數(shù)器CNTB、數(shù)字比較器LPM_ COMPARE三部分組成,方向控制電路由兩個(gè)二選一電路21MUX組成。3.2 PWM脈寬調(diào)制信號(hào)產(chǎn)生電路描述 PWM脈寬調(diào)制信號(hào)產(chǎn)生電路由可控的加減計(jì)數(shù)器CNTA、5位二進(jìn)制計(jì)數(shù)器CNTB、數(shù)字比較器LPM_COMPARE三部分組成??煽氐募訙p計(jì)數(shù)器做細(xì)分計(jì)數(shù)器,確定脈沖寬度。當(dāng)U/D=1時(shí),輸入

24、CLK2,使設(shè)定值計(jì)數(shù)器的輸出值增加,PWM的占空比增加,電機(jī)轉(zhuǎn)速加快;當(dāng)U/D =0,輸入CLK2,使設(shè)定值計(jì)數(shù)器的輸出值減小,PWM的占空比減小,電機(jī)轉(zhuǎn)速變慢。5位二進(jìn)制計(jì)數(shù)器在CLK0的作用下,鋸齒波計(jì)數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計(jì)數(shù)值小于設(shè)定值時(shí),數(shù)字比較器輸出高電平;當(dāng)計(jì)數(shù)值大于設(shè)定值時(shí),數(shù)字比較器輸出低電平,由此產(chǎn)生周期性的PWM波形。其內(nèi)部邏輯圖如圖3.2所示。圖 3.2 FPGA中的PWM脈寬調(diào)制信號(hào)產(chǎn)生電路 可控的加減計(jì)數(shù)器CNTA中的端口U_D控制計(jì)數(shù)器的方向,EN1是計(jì)數(shù)器的使能端,控制計(jì)數(shù)器初值的變化。U_D=1時(shí),加減計(jì)數(shù)器CNTA在脈沖CLK2的作用下,每來一

25、個(gè)脈沖,計(jì)數(shù)器CNTA加1,U_D=0時(shí),每來一個(gè)脈沖,計(jì)數(shù)器CNTA減1。使能端EN1設(shè)定計(jì)數(shù)器值的初值,當(dāng)EN1由1變?yōu)?的時(shí)候,無論U_D如何表化,計(jì)數(shù)器的值都不會(huì)發(fā)生變化,這樣就完成了計(jì)數(shù)器的設(shè)定值,其仿真波形如圖3.3所示,其VHDL語言如下。LIBRARY IEEE;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNTA IS PORT(CLK:IN STD_LOGIC; U_D:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(4 DOWNT

26、O 0);END CNTA;ARCHITECTURE behav OF CNTA IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK)BEGIN IF CLK'EVENT AND CLK='1'THEN IF U_D='1' THEN IF CQI<=31 THEN CQI<="11111" ELSE CQI<=CQI+1; END IF; ELSIF CQI=0 THEN CQI<="00000" ELSE CQI<

27、;=CQI-1;END IF; END IF; END PROCESS; CQ<=CQI; END behav;圖 3.3 細(xì)分計(jì)數(shù)器的仿真波形 CNTB是一個(gè)簡(jiǎn)單的5位二進(jìn)制計(jì)數(shù)器,它的工作原理和CNTA的原理很相似,我們只是在CNTA的時(shí)鐘端加了一個(gè)使能端EN1控制其加減的方向。而CNTB的時(shí)鐘端沒有加使能端,所以每來一個(gè)脈沖計(jì)數(shù)器加1,因?yàn)镃NTB是一個(gè)5位的二進(jìn)值計(jì)數(shù)器,所以當(dāng)計(jì)數(shù)器的值當(dāng)大于32時(shí),計(jì)數(shù)器又重新從0開始記數(shù),從而產(chǎn)生周期性的線性增加的鋸齒波。其仿真波形如圖3.4,其VHDL語言如下。ENTITY CNTB IS PORT(CLK: IN BIT; Q:BUFFE

28、R INTEGER RANGE 31 DOWNTO 0); END;ARCHITECTURE BHV OF CNTB IS BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN Q<=Q+1; END IF; END PROCESS; END BHV;圖3.4 5位二進(jìn)制計(jì)數(shù)器仿真波形 數(shù)字比較器是產(chǎn)生PWM波形的核心組成部件,可控的加減計(jì)數(shù)器CNTA和5位二進(jìn)制計(jì)數(shù)器CNTB同時(shí)加數(shù)字比較器LPM-COMPARE兩端作為兩路輸入信號(hào),當(dāng)計(jì)數(shù)器CNTB輸出值小于細(xì)分計(jì)數(shù)器CNTA輸出的規(guī)定值時(shí), 比較器輸出高

29、電平; 當(dāng)CNTB輸出值大于細(xì)分計(jì)數(shù)器CNTA輸出的規(guī)定值時(shí), 比較器輸出低電平。改變細(xì)分計(jì)數(shù)器的設(shè)定值, 就可以改變PWM輸出信號(hào)的占空比。為了便于觀察防真波形,在CNTB的輸出加上B4.0,仿真波形如圖3.5。圖3.5 數(shù)字比較器的仿真波形 細(xì)分計(jì)數(shù)器CNTA是一個(gè)雙向計(jì)數(shù)器, 可以進(jìn)行加減計(jì)數(shù),由U_D控制其加/減計(jì)數(shù)方向, CLK是計(jì)數(shù)時(shí)鐘輸入端。為了便于連續(xù)變速控制, 在計(jì)數(shù)器的CLK端通過“與”門, 加入了CLK2外部變速控制附加時(shí)鐘, 并由EN1信號(hào)控制是否允許變速。在本次設(shè)計(jì)中直流電機(jī)轉(zhuǎn)速進(jìn)行了32級(jí)細(xì)分。其仿真波形如圖3.6,細(xì)分計(jì)數(shù)器的初值設(shè)為08H,也就是十進(jìn)值的8,當(dāng)計(jì)

30、數(shù)器CNTB的值小于8時(shí),AGB輸出高電平,當(dāng)計(jì)數(shù)器CNTB的值大于8時(shí),AGB的輸出值為低電平,從而產(chǎn)生PWM波形。圖4.6 A4.0=08H時(shí)電機(jī)加速PWM波形 通過改變細(xì)分計(jì)數(shù)器的值就可以改變PWM的占空比,從而改變直流電機(jī)的速度。在圖3.6中占空比D=8/32=0.25,在圖3.7中占空比D=4/32=0.125。通過以上兩組數(shù)據(jù)比較以及分析仿真波形我們可以看出,只要改變使能端電平的高低,便可以改變細(xì)分計(jì)數(shù)器的值,也就是改變細(xì)分計(jì)數(shù)器CNTA的初值,從而可以改變直流電機(jī)的占空比,改變直流電機(jī)的速度。圖3.7 A4.0=04H時(shí)電機(jī)減速PWM波形調(diào)節(jié)PWM波的占空比是電機(jī)調(diào)速的重要手段,

31、若脈寬計(jì)數(shù)器CNTA的值逐漸增大,輸出脈沖的開啟時(shí)間變大,PWM占空比逐漸變大,功率器件輸出給電機(jī)電樞的能量增加,電機(jī)加速。若脈寬計(jì)數(shù)器定時(shí)器CNTA的值減小,輸出脈沖的開啟時(shí)間變小,PWM占空比逐漸變小,功率器件輸出給電機(jī)電樞的能量減少,電機(jī)減速。當(dāng)電機(jī)得到加速信號(hào),占空比增大至它可調(diào)范圍的最大值后保持,電機(jī)得到減速信號(hào),占空比減小至它的可調(diào)范圍的最小值后保持。3.3 運(yùn)行控制邏輯電路描述 如圖3.8所示FPGA中的工作/停止控制和正/反轉(zhuǎn)方向控制電路,其兩個(gè)二選一多路選擇器加上兩個(gè)與門根據(jù)邏輯原理組合而成。START鍵通過“與”門控制PWM輸出,實(shí)現(xiàn)對(duì)電機(jī)的工作/停止控制。當(dāng)START端接

32、高電平時(shí),表示電源接通,電機(jī)開始運(yùn)轉(zhuǎn);當(dāng)START端接低電平時(shí),電機(jī)停止運(yùn)轉(zhuǎn)。Z/F鍵控制選擇PWM波形是從正端Z進(jìn)入H橋,還是從負(fù)端F進(jìn)入H橋,以控制電機(jī)的旋轉(zhuǎn)方向。當(dāng)Z/F=1時(shí)PWM輸出波形從正端Z進(jìn)入H橋,電機(jī)正轉(zhuǎn)。當(dāng) Z/F =0時(shí)PWM輸出波形從負(fù)端F進(jìn)入H橋,電機(jī)反轉(zhuǎn)。仿真如圖3.9所示。圖3.8 FPGA中的工作/停止控制和正/反轉(zhuǎn)方向控制電路圖3.9 正/反轉(zhuǎn)工作控制電路波形圖3.10工作/停止電路波形當(dāng)START=1時(shí),與門打開,允許電機(jī)工作。當(dāng)START=0時(shí),與門關(guān)閉,電機(jī)停止轉(zhuǎn)動(dòng)。仿真如圖3.10所示。第四章 直流電機(jī)PWM調(diào)速系統(tǒng)仿真4.1 FPGA開發(fā)環(huán)境的介紹

33、MAX+Plus II(MuliPtle Array Martix and Programmxnaable Logie User System)是ALTERA公司推出的具有完全集成化、可視化的設(shè)計(jì)環(huán)境,具有工業(yè)標(biāo)準(zhǔn)EDA工具接口,可運(yùn)行于多種操作系統(tǒng)。MAX+Plus II提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計(jì)環(huán)境,設(shè)計(jì)人員無須精通器件內(nèi)部結(jié)構(gòu),只需運(yùn)用自己熟悉的輸入工具進(jìn)行設(shè)計(jì),就可以通過MAX+Plus II把這些設(shè)計(jì)轉(zhuǎn)換為最終結(jié)構(gòu)所需要的格式。 MAX+Plus II提供豐富的邏輯功能供設(shè)計(jì)人員調(diào)用,其中包括74系列全部器件的等效宏功能庫和多種特殊的宏功能(MacorFunctino)模塊以及參數(shù)化

34、的宏功能(Mageufnctino)模塊。MAX+PlusH還具有開放核的特點(diǎn),允許設(shè)計(jì)人員添加自己的宏功能模塊。充分利用這些邏輯功能模塊,可以大大減輕設(shè)計(jì)的工作量,成倍縮短開發(fā)周期。 Altera公司的MAX+plus II有以下特點(diǎn): 開放的界面MAX+plus II軟件可與其他工業(yè)標(biāo)準(zhǔn)的設(shè)計(jì)輸入、綜合與校驗(yàn)工具相連接,支持與Candence、Synopsys、Viewlogic等其它公司所提供的EDA接口。 完全集成化MAX+plus II的設(shè)計(jì)輸入、處理與校驗(yàn)功能全部集成在統(tǒng)一的開發(fā)環(huán)境下,這樣可以加快動(dòng)態(tài)調(diào)試、縮短開發(fā)周期。 豐富的設(shè)計(jì)庫MAX+plus II提供豐富的庫單元供設(shè)計(jì)者

35、調(diào)用,其中包括74系列的全部器件、大量的數(shù)字器件和新型參數(shù)化的宏函數(shù),大大減輕了設(shè)計(jì)人員的工作量。 硬件描述語言MAX+plus II軟件支持各種HDL設(shè)計(jì)輸入選項(xiàng),包括VHDL、verilog HDL和Altera公司自己的硬件描述語言AHDL。開放核特性MAX+plus II軟件具有開放核的特點(diǎn),它允許設(shè)計(jì)人員添加自己認(rèn)為有價(jià)值的宏函數(shù)。 MAX+plus II軟件的設(shè)計(jì)輸入方法有多種,主要包括原理圖輸入方式、文本設(shè)計(jì)輸入方式、波形設(shè)計(jì)輸入方式等。 (1) 原理圖輸入與符號(hào)編輯。利用MAX+plus II提供的各種原理圖庫進(jìn)行設(shè)計(jì)輸入是一種最為直接的輸入方式。用這種方式輸入時(shí),為提高效率,

36、應(yīng)采用自頂向下邏輯分塊,把大規(guī)模的電路劃分成若干小塊的方法。 (2) 硬件描述語言輸入。MAX+plus II包含一個(gè)集成的Text Editor(文本編輯程序),適合于輸入和編輯用VHDL語言編寫的設(shè)計(jì)文件。 (3) MAX+plus II Waveform Editor(波形編輯程序)用于建立和編輯波形文件及輸入仿真向量和功能測(cè)試向量,適合于時(shí)序和重復(fù)的函數(shù)。 設(shè)計(jì)人員可以根據(jù)自己的實(shí)際情況靈活的選擇MAX+plus II軟件的輸入方式。4.2 正/反轉(zhuǎn)控制仿真 鍵盤Z_F是電機(jī)的方向控制鍵。當(dāng)要求電機(jī)正轉(zhuǎn)時(shí),只需要按下鍵Z_F,表示Z_F輸出高電平,即Z_F=1,電機(jī)正轉(zhuǎn),如圖4.1所示

37、。當(dāng)鍵Z_F松開時(shí),Z_F0時(shí),電機(jī)反轉(zhuǎn),如圖4.2、圖4.3所示。圖4.1 電機(jī)正轉(zhuǎn)圖4.2 電機(jī)反轉(zhuǎn)圖4.3 電機(jī)正反轉(zhuǎn)4.3 啟/停控制仿真 START鍵是電機(jī)的啟動(dòng)鍵,當(dāng)按下START鍵時(shí),START=1,電機(jī)進(jìn)入運(yùn)行狀態(tài),如圖4.4所示。反之,START=0時(shí),電機(jī)停止,如圖4.5、圖4.6所示。圖4.4 啟動(dòng)仿真波形圖4.5 停止仿真波形圖4.6 啟/停仿真波形4.4 加/減速仿真 鍵盤EN1控制電機(jī)是否允許變速。所以通過改變EN1便可以改變?cè)O(shè)定值H4.0的值,也就是設(shè)定值的初值,從而改變了直流電機(jī)的占空比,改變直流電機(jī)的速度,達(dá)到調(diào)速的目的。 因?yàn)镃NTB是5位的計(jì)數(shù)器,所在本設(shè)

38、計(jì)中直流電機(jī)轉(zhuǎn)速細(xì)分為32級(jí)。如圖4.7的占空比為2/32=0.0625,同理通過按鍵EN1該變H4.0的值便得到如圖4.8、4.9的PWM仿真波形,其占空比依次為0.125、0.25,也就是占空比增大,電機(jī)的速度增加。根據(jù)以上的數(shù)據(jù)比較與仿真波形的分析可以看出,電機(jī)的速度在逐漸的增加。所以通過改變EN1的值可以改變直流電機(jī)的PWM占空比,從而改變直流電機(jī)的速度。圖4.7 H4.0=02H仿真波形圖4.8 H4.0=04H仿真波形圖4.9 H4.0=08H仿真波形4.5 仿真結(jié)果分析 通過5.2到5.5的仿真波形分析可知,本設(shè)計(jì)中的各項(xiàng)功能夠很好的實(shí)現(xiàn)。在時(shí)鐘脈沖的作用下,計(jì)數(shù)器CNTA和CNTB都能按照事先設(shè)定好的規(guī)則進(jìn)行計(jì)數(shù)。CNTA是可控的加減計(jì)數(shù)器,U_D控制其計(jì)數(shù)的方向,EN1用于設(shè)定其初值,當(dāng)NE1

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論