15年秋_(電子實驗1--數(shù)字邏輯)實驗匯總(改2015-9-21)_第1頁
15年秋_(電子實驗1--數(shù)字邏輯)實驗匯總(改2015-9-21)_第2頁
15年秋_(電子實驗1--數(shù)字邏輯)實驗匯總(改2015-9-21)_第3頁
15年秋_(電子實驗1--數(shù)字邏輯)實驗匯總(改2015-9-21)_第4頁
15年秋_(電子實驗1--數(shù)字邏輯)實驗匯總(改2015-9-21)_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、教材名目 錄I實驗大綱2II實驗課程運行4II.1 運行圖4II.2 課表9III實驗管理9III.1 實驗組織及指導方法9III.2 單個實驗運行9III.3 報告要求10IV實 驗 報 告 模 板11IV.1 實驗設計方案11IV.2 功能驗證11IV.3 硬件驗證12IV.4 實驗日志12V. 綜合實驗驗收流程13VI. 綜合實驗選題報告模板13VII. 聯(lián)系我們14I實驗大綱課程名稱:硬件技術基礎實驗1(數(shù)字電路與邏輯設計實驗)(電子實驗1)英文名稱: Hardware technology experiment課程編號:08528本大綱主筆人:方愷晴 張洪杰一、課程的總學時

2、、學分及實驗所占的學時、學分總學時:32 總學分:1實驗學時:32 實驗學分:1二、實驗適用專業(yè):計算機科學、信息與安全、通信工程、智能、物聯(lián)、保密、軟件三、實驗配套教材計算機硬件技術基礎實驗教程 方愷晴 張洪杰 劉三一編 清華大學出版社四、實驗的性質、任務與目的硬件技術基礎實驗1是針對計算機科學技術、信息與安全及通信工程專業(yè)而開設的一門重要專業(yè)基礎實踐課,是計算機硬件技術的基礎。該課程主要是數(shù)字電路與邏輯設計實驗,采用新型EDA實驗方法。設立該課程的主要目的是讓學生通過實驗環(huán)節(jié)掌握數(shù)字電路與邏輯設計相關知識;培養(yǎng)學生的實驗能力、實際操作能力,綜合分析問題和解決問題的能力;培養(yǎng)嚴謹、踏實、科學

3、的工作作風,為學生今后從事計算機硬件工作奠定實踐基礎;同時,在實踐中進一步加強對理論知識的理解,使理論教學密切聯(lián)系實際。實驗教學任務:掌握數(shù)字系統(tǒng)邏輯設計的EDA分析方法與設計方法。通過數(shù)字系統(tǒng)的自行設計制作,拓展學生視野,掌握可編程邏輯器件開發(fā),掌握現(xiàn)代EDA技術輔助設計數(shù)字邏輯電路及分析方法。五、實驗的軟硬件環(huán)境及基本理論1EDA技術的軟件開發(fā)環(huán)境: QUARTUSQUARTUS是ALTERA公司面向可編程器件開發(fā)的完全集成化開發(fā)環(huán)境之一。它以其功能強大,操作方便直觀,易于入門,易于掌握,以及提供各類性能卓越的CPLD、FPGA器件而被作為EDA實驗教學的首選軟件環(huán)境。2硬件實驗開發(fā)平臺(

4、自制DDA-、DDA-型實驗箱、便攜型DDA-I實驗板)DDA系列實驗箱是我院老師自己開發(fā)設計的數(shù)字系統(tǒng)實驗平臺。它以MAX+plus、QUARTUS為軟件環(huán)境,主芯片分別采用FLEX10K20、CYCLONE EP1C6Q240C6。資源豐富,如電平指示燈LED數(shù)碼管、電平開關、脈沖按鍵開關、頻率可調的時鐘信號、可擴充的I/O資源、JTAG標準的下載等。3. 基本理論數(shù)字電路分析及設計方法、 常用電子元器件的性能、常用電子儀器的使用方法;EDA技術進行電路設計的新方法;門電路、組合邏輯電路設計、時序邏輯電路設計、集成電路及數(shù)字系統(tǒng)設計等的原理,方法。六、實驗方式與基本要求實行半開放式實驗教學

5、(除正常上課時間外,實驗室全開放)。學生根據(jù)自己的實際能力在完成必做的實驗項目基礎上,可選做其它選做實驗項目。完成選做實驗項目者可適當加分。分組形式課堂時間完成本次實驗,按強弱搭配的原則每4人1小組,組長負責協(xié)助教師指導并督促組員按時按質按量完成實驗任務。基本要求:獨立完成實驗預習;獨立完成實驗電路的設計和進行實驗操作并撰寫實驗日志及實驗報告;掌握數(shù)字系統(tǒng)實驗平臺的開發(fā)方法;掌握可編程器件的組合邏輯電路設計、時序邏輯電路設計以及數(shù)字系統(tǒng)驗證調試方法。七、實驗項目的設置與內容提要序號實驗項目實驗學時每組人數(shù)實驗類型實驗要求內 容 提 要主要儀器相關軟件1軟硬件平臺的使用191綜合必修了解FPGA

6、工作原理、特性及設計流程;熟悉并掌握開發(fā)工具quartus2及硬件平臺的安裝及使用;通過具體實例掌握數(shù)字系統(tǒng)的設計流程及各種設計方法(圖形法、硬件語言描述法、層次設計法等),掌握圖形法的注意事項及畫圖技巧等自制數(shù)字系統(tǒng)實驗平臺;軟件QUARTUSII2測試121設計必修FPGA簡單工程開發(fā)流程訓練。軟件:QUARTUSII3組合電路21綜合必修通過組合電路設計的具體實例學習VHDL語言進行PLD設計的流程及VHDL語言的編寫規(guī)范;掌握硬件電路設計的調試與驗證方法;了解LPM的定制過程,學習基于LPM宏功能模塊的工程設計。自制數(shù)字系統(tǒng)實驗平臺;軟件:QUARTUSII4觸發(fā)器21綜合必修用定制及

7、元件例化的方法實現(xiàn)電路的頂層設計自制數(shù)字系統(tǒng)實驗平臺;軟件:QUARTUSII5移位寄存器31綜合必修掌握基本時序電路VHDL編寫;熟悉波形仿真基本方法;熟悉相關LPM元件定制;掌握VHDL元件例化方法;了解混合模塊的工程設計方法;自制數(shù)字系統(tǒng)實驗平臺;軟件:QUARTUSII6測試221設計必修功能仿真規(guī)范步驟及經驗訓練。軟件:QUARTUSII7計數(shù)器分頻器41綜合必修通過計數(shù)器分頻器等實例學習VHDL語言中的類屬說明語句generic的應用,掌握混合模式的工程設計法的應用,了解偶次分頻器、奇次分頻器等原理。自制數(shù)字系統(tǒng)實驗平臺;軟件:QUARTUSII8序列檢測器41綜合必修設計一個序列

8、檢測器,掌握基于狀態(tài)機的VHDL代碼編寫;基于狀態(tài)機的工程設計。自制數(shù)字系統(tǒng)實驗平臺;軟件:QUARTUSII9綜合實驗測試341設計2選1自行選題,搜集資料,分析可行性,完成開題報告,并進行分模塊設計與調試,掌握系統(tǒng)設計的方法及常用電路的應用。自制數(shù)字系統(tǒng)實驗平臺;軟件:QUARTUSII八、考核方式與評分辦法總成績(100%)=平時成績(40%)+文檔(20%)+測試(40%)平時成績:綜合考核實驗預習、實驗操作、課堂表現(xiàn)、實驗驗收、文檔報告的實驗過程情況;II實驗課程運行II.1 運行圖2-3周軟硬件平臺使用1預習:【教】第1章緒論;EDA部分課程安排(大綱、實驗組織方法、分模塊要求、總

9、要求測試等);【教】3.1 軟硬件平臺介紹 【重】3.1.2FPGA設計流程 3.1.5 DDA-I型實驗平臺 管腳分布 ; 3.2.3 概述 【重】數(shù)碼管顯示(結合 P94(6),P95 2)。 做實驗:3.2.4(P104至P118-(7) 數(shù)碼管掃描電路 一個簡單完整工程開發(fā)及編譯、仿真、 管腳定義及下載驗證。【難】 工程文件夾、工程名與頂層實體名一致 P104 圖3-2-2 原理圖繪制之元件命名及連接 P107 (3) 仿真功能驗證 (參數(shù)、信號名稱位置、初始化、周期設置 可提前參考P149 仿真驗證) 創(chuàng)建子模塊的符號文件symbol file P117(2) 寫報告:打印版,驗收時

10、交。(含 設計流程及數(shù)據(jù)記錄,詳見 IV模板 )思考題:3.2.5 P120 174周軟硬件平臺使用2做實驗:3.2.4(P104至P118-(7) 數(shù)碼管掃描電路 一個簡單完整工程開發(fā)及編譯、仿真、管腳定義及下載驗證寫報告:打印版,驗收時交。(含 設計流程及數(shù)據(jù)記錄,詳見 模板 )5周軟硬件平臺使用3驗收:會下載驗證,【重】記錄din0輸入0F時,7449譯碼符號顯示情況;波形圖與圖3-2-38一致,【重】修改500ns以后的波形圖,使din0、din1、din2其中一路輸入數(shù)據(jù)7,觀察輸出波形,解釋為什么會這樣輸出? 收第1次實驗報告6周測試1驗收:會下載驗證,【重】記錄din0輸入0F時

11、,7449譯碼符號顯示情況;波形圖與圖3-2-38一致,【重】修改500ns以后的波形圖,使din0、din1、din2其中一路輸入數(shù)據(jù)7,觀察輸出波形,解釋為什么會這樣輸出? 收第1次實驗報告 綜合實驗分組及選題情況表測試1:(P104至P118-(7) 數(shù)碼管掃描電路中某個模塊的簡單完整工程開發(fā)及編譯,當場完成功能仿真驗證,并寫好紙制測試報告。測試報告要求: 描繪出功能仿真結果。 仿真功能結果分析、結論。7周組合電路設計預習: 3.3.3概述,【重】層次化設計方法、結構式與RTL描述、分析調試工具RTL viewer。做實驗:3.3.4 1.比較電路(P126130 VHDL與LPM元件定

12、制,其它27模塊自習)【難】 電路內部 反饋 P127 圖3-2-2 LPM開發(fā)流程² 獲取LPM器件幫助文件(菜單HELP->Megafunctions/LPM打開或者在MegaWizard Plug-In Manager向導的右上角單擊Documentation按鈕)² 明確器件功能(器件能做什么?)、端口(input port,output port含義?哪些是required必須的?)、truth table真值表(器件怎么做相應功能?)。² 定制參數(shù),產生器件(圖示預覽?流水線設置?從名稱上辨識同步與異步信號?產生哪些器件文件?)² 器件

13、功能驗證(同VHDL語言驗證)驗收: bijiao波形圖與教材中圖3-3-4 一致,結合RTL viewer調試:舉例說明電路符號、簡單電路分析ab大小關系輸出情況。 記錄lpm_comparte2中AeB、AgB、AgeB、AlB、AleB、AneB符號含義思考題: 3.3.6 P139 168周觸發(fā)器預習:3.4.3概述 P140 觸發(fā)器基本原理(概念? 基本RS D JK T型FF的特征方程?) 消抖電路原理及實現(xiàn)P141【重】(原因?電平檢測消抖法?仿真結果?) 元件例化【重】(應用范圍?聲明格式?端口關聯(lián)方式?)做實驗: 觸發(fā)器的應用-消抖電路P141 用VHDL描述圖3-4-5電路

14、。 頂層exp_xiaodou2.vhd:結構式描述頂層,可參見P141 3.VHDL語言中的元件例化。 底層用LPM_FF定制,參見LPM_FF定制【難】P143-144(類型?同步及異步端口?)。驗收: exp_xiaodou2.vhd波形圖與教材中圖3-4-6 一致。 記錄頂層元件例化中端口關聯(lián)語句。思考題:3.4.6 P146P147 1,68 9周移位寄存器預習:3.5.3概述 P147 移位寄存器基本原理(概念?應用?) Quartus仿真 【重】Quartus仿真是什么?基本原則?常規(guī)步驟?做實驗:74194仿真驗證 P149 【難】 理解74194功能,結合原始功能表與P148

15、表3-5-1 (端口?功能表?) QuartusII仿真詳細步驟見P149-152,參看錄像 仿真驗證方法(74194).exe。² 原則:完整簡潔。 思路:三步走,先初始,后分段。² VWF波形激勵輸入文件 與 simulator report波形輸出文件。(修改了VWF,但是simulator report始終無波形輸出?)² clock period與grid size。周期與網格寬度關系?響應時鐘下降沿時網格與時間軸如何設置?² 信號整理。時鐘信號位置?信號整合Grouping時高低位與Radix幾進制如何界定?² 輸入激勵。功能表中描

16、述X輸入如何在VWF中設置輸入?如何選中任意一段時間?² 電路初始化。初始化用處?時鐘、異步控制、同步控制如何設置?² 分段仿真思想。驗收: 僅看功能表,完成74194功能仿真。思考題:3.5.6 P156 (13)10周測試2根據(jù)移位寄存器芯片功能表,當場完成功能仿真驗證,并寫好紙制測試報告。測試報告要求: 描繪出功能仿真結果。 仿真功能結果分析、結論。11周計數(shù)器1預習:3.6.3實驗概述 計數(shù)器概念?實例?計數(shù)器是數(shù)字系統(tǒng)中常用的一種可統(tǒng)計時鐘脈沖個數(shù)的時序邏輯部件。舊式元件庫里已經提供一些標準器件:異步計數(shù)器74LS90、十進制計數(shù)器74LS192參數(shù)元件庫里已經提

17、供一些標準器件:lpm_counter【重】詳見表3-6-3功能表 任意計數(shù)器的一些問題:【難】² 任意M進制計數(shù)器?(M<N,N進制計數(shù)器已知,縮小計數(shù)范圍)電路反饋至清零或置數(shù)² 計數(shù)器的級聯(lián)?(M>N,N進制計數(shù)器已知,擴大計數(shù)器范圍)同步或異步通常要依靠時鐘信號、進位信號、計數(shù)使能(或片選)信號。² 如果是使用lpm_counter的話,定制起來就更方便了。² 如果還覺得lpm_counter不夠用,用戶還可以利用generic類屬語句,P160。generic是實體說明的可選項,帶有generic的實體所定義的元件叫做參數(shù)元件。 分

18、頻器概念?參數(shù)?在數(shù)字系統(tǒng)中,分頻電路可以將高頻率的時鐘信號轉換為低頻率的時鐘信號。通常,它有兩個參數(shù):分頻次數(shù)和占空比。分頻次數(shù)與計數(shù)器的模值是同一個概念。占空比是指高電平在一個周期之內所占的時間比率。占空比設計關鍵在于進位輸出電平翻轉的時機。 3.6.4中的實例 p160-165 六十進制加法計數(shù)器 二進制分頻器。實驗內容:依據(jù)以下層次圖,理解文件夾exp_cnt_xuehao365_7seg中的源代碼,修改模值實現(xiàn)帶數(shù)碼管顯示的學號計數(shù)器并下載驗證。模值為每位同學學號的后三位數(shù)字;用三位數(shù)碼管分別顯示百位、十位、個位數(shù)字;exp_cnt_xuehao365_7seg的層次圖:說明:exp

19、_cnt_xuehao365_7seg.vhd頂層實體。cnt_xuehao.vhd 模365的學號計數(shù)器,需調用3個cnt10。cnt10.vhd 模10計數(shù)器,由LPM_COUNTER定制而成,詳見cnt10_waveforms.html。scan_led3_vhd.vhd 三位數(shù)碼管掃描顯示電路。12周計數(shù)器2驗收: 下載驗證,觀察百位、十位、個位計數(shù)器進位情況,記錄各學號計數(shù)器模值修改設置部分語句。 管腳分配規(guī)劃:Clock 系統(tǒng)時鐘P122(Q23)Aclr、cnt_en、sload、datab3.0、datas3.0、datag3.0 電平開關Cout 輸出LEDscan_clk

20、掃描時鐘頻率>系統(tǒng)時鐘頻率seg76.0 數(shù)碼管段選wei2.0 數(shù)碼管位選思考題:3.6.6 P170 1413、14周序列檢測器預習:3.7.3實驗概述 狀態(tài)機用途、設計方法 狀態(tài)機VHDL設計方法主要步驟:確定狀態(tài)機類型、繪制狀態(tài)圖,編寫VHDL代碼完成設計(或由EDA工具自動完成)。 【難】狀態(tài)機類型,見圖3-7-1 3-7-2 Mealy型與Moore型。輸出控制邏輯不同決定了兩種類型。 【難】狀態(tài)機的VHDL設計。狀態(tài)機VHDL代碼里包括了狀態(tài)定義、次態(tài)設置、輸出設置。狀態(tài)定義通過VHDL的類型定義語句TYPE來枚舉實現(xiàn)。格式見P172。實例見P173-175代碼。Quart

21、usII軟件提供了State Machine Editor,可以畫狀態(tài)圖方式描述狀態(tài)機,并幫助設計者完成狀態(tài)圖的輸入后直接生成HDL代碼。做實驗:依據(jù)狀態(tài)圖3-7-4,利用狀態(tài)圖輸入法設計1110010序列檢測器并下載驗證。過程說明:圖3-7-8 :Create 或Edit狀態(tài)機圖3-7-9:Register the output ports不用勾選,異步輸出。圖3-7-10:State Transtions表中,din表示輸入為1,din表示輸入為0,圖中未顯示完的條件轉換依據(jù)狀態(tài)圖3-7-4填寫完。圖3-7-11:Output State中Next clock cycle應改為curren

22、t clock cycle,另注意輸出Additional Conditions為S6且din。圖3-7-13:最終布局可拖拽S0S6狀態(tài)圈重新安置,選中帶箭頭線可利用小方塊任意變形。初始狀態(tài)含輸出的狀態(tài)驗收: 下載驗證思路:隨著CLOCK手動輸入din序列1110010,成功則z閃一下。Exp_detect3.VHD添加clockout代碼顯示CLOCK信號: 管腳分配規(guī)劃:Clock 系統(tǒng)時鐘P122(Q24)Din reset 電平開關Z clockout 輸出LED15周綜合實驗測試3題目1:將前面的模塊應用于序列檢測器,設計附加電路,讓下載的實驗現(xiàn)象更直觀,操作更方便;題目2:洗選綜

23、合測試3II.2 課表III實驗管理III.1 實驗組織及指導方法依據(jù)“做中學”教學理念,強調學生在做的過程中學習并掌握基本知識的同時挖掘其研究與創(chuàng)新的潛力,在教學實施過程中以少講多做為原則,鼓勵學生互幫互助,以強帶弱,共同進步,每班分成4個大組,按強弱搭配原則每個大組選派一名能力強且負責的同學為組長,組長負責協(xié)助教師指導并督促組員按時按質按量完成實驗任務。課堂上教師只是針對性的、啟發(fā)性的講解部分內容,學生按照給定的要求完成實驗,教師配合測試嚴格把關驗收。整個實驗過程掌握數(shù)字電路設計的基本技能為目標貫穿整個學期,每個實驗項目掌握一到2個基本知識點和實驗將基本技能。在基本技能掌握的情況下,學生科

24、選作綜合實驗項目,完成者可加分。整個過程堅持以教師為主導,學生為主體的原則,“Tell me, I will Forget. Show me, I will Remember. Let me do it, I will Understand”,時刻提醒學生和教師“告訴我,我會忘記;讓我看,我會記住;讓我來做,我會明白。”學生做為主,教師干預為少,擺脫學生依賴老師的慣性。為他們提供寬松的實驗條件,讓學生有充分自主權,啟發(fā)他們主動的去發(fā)現(xiàn)問題并自己解決實驗中遇到的具體困難,分析實驗中出現(xiàn)的各種現(xiàn)象,評價實驗結果的可靠性。 III.2 單個實驗運行課上課下相結合,切實培養(yǎng)學生的實踐能力。每次實驗遵循

25、步驟如下:預習實驗,了解實驗背景知識、實驗內容、驗收要求。完成電路的設計,并用軟件quartusii的硬件語言描述、電路圖輸入、LPM定制、狀態(tài)機輸入等方法實現(xiàn)(課堂上實驗時間有限,要想在課堂中完成實驗任務,課前預習工作非常重要,務必認真對待),記錄實驗日志(電路設計中出現(xiàn)的問題等)-該部分為選做內容,給予適當加分。課堂訓練、實驗階段、驗收:這一階段學生應當在給予的課堂有限時間內自行完成實驗的功能仿真或硬件調試下載驗證,再將數(shù)據(jù)記錄最后經老師驗收,部分實驗驗收同時收報告。每次實驗學生必須在規(guī)定課堂時間段內完成驗收,逾期將不予記錄該次實驗成績。鼓勵超前完成任務和完成較高要求(適當加分)。III.3 報告要求本期實驗的文檔采用電子版實驗報告,提交時統(tǒng)一采用A4紙雙面打印,電子版由組長收齊再提交老師以用

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論