簡單加減計算電路_第1頁
簡單加減計算電路_第2頁
簡單加減計算電路_第3頁
簡單加減計算電路_第4頁
簡單加減計算電路_第5頁
已閱讀5頁,還剩30頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、簡單加/減運算電路簡單加/減運算電路1 設(shè)計主要內(nèi)容及要求1.1 設(shè)計目的:(1)掌握1位十進制數(shù)加法運算電路的構(gòu)成、原理與設(shè)計方法;(2)熟悉QuartusII的仿真方法。1.2 基本要求:(1)實現(xiàn)二進制數(shù)的加/減法;(2)設(shè)計加數(shù)寄存器A和被加數(shù)寄存器B單元;(3)實現(xiàn)4bit二進制碼加法的BCD調(diào)整;(4)根據(jù)輸入的4bitBCD編碼自動判斷是加數(shù)還是被加數(shù)。1.3 發(fā)揮部分:(1)拓展2位十進制數(shù)(2)MC存儲運算中間值;(3)結(jié)果存儲隊列;(4)其他。2 設(shè)計過程及論文的基本要求2.1 設(shè)計過程的基本要求(1)基本部分必須完成,發(fā)揮部分可任選2個方向:(2)符合設(shè)計要求的報告一份,

2、其中包括邏輯電路圖、實際接線圖各一份;(3)設(shè)計過程的資料、草稿要求保留并隨設(shè)計報告一起上交;報告的電子檔需全班統(tǒng)一存盤上交。2.2 課程設(shè)計論文的基本要求(1)參照畢業(yè)設(shè)計論文規(guī)范打印,文字中的小圖需打印。項目齊全、不許涂改,不少于3000字。圖紙為A3,附錄中的大圖可以手繪,所有插圖不允許復(fù)印。(2)裝訂順序:封面、任務(wù)書、成績評審意見表、中文摘要、關(guān)鍵詞、目錄、正文(設(shè)計題目、設(shè)計任務(wù)、設(shè)計思路、設(shè)計框圖、各部分電路及參數(shù)計算(重要)、工作過程分析、元器件清單、主要器件介紹)、小結(jié)、參考文獻、附錄(邏輯電路圖與實際接線圖)。摘要 當今的社會是信息化的社會,也是數(shù)字化的社會,各種數(shù)字化的電

3、器與設(shè)備越來越普及,人們的大部分生活都依賴于這些數(shù)字化的設(shè)備。而隨著科技的發(fā)達,這些數(shù)字設(shè)備的功能越來越強大,程序越來越復(fù)雜。但是我們都知道各種復(fù)雜的運算都是從簡單的加減運算衍生出來的。 經(jīng)過半學(xué)期的數(shù)字電子技術(shù)基礎(chǔ)的學(xué)習(xí),我們對數(shù)字電子技術(shù)的理論知識有了一定的了解。在這個時刻,將理論結(jié)合實際的欲望,便顯得更加迫切,而此時的課設(shè)安排正好可以幫助我們將理論結(jié)合實際,將夢想變成現(xiàn)實。本次的簡單運算電路是基于Quartus仿真軟件而設(shè)計的,而每一個仿真軟件都有它自己的特色與優(yōu)缺點。所以Quartus仿真軟件的特點決定了我們不能簡單的利用利用開關(guān)控制數(shù)據(jù)的傳遞,而是要設(shè)計寄存器的CP脈沖的頻率來控制數(shù)

4、據(jù)的輸入與輸出。而設(shè)計簡單加/減運算電路,必須考慮到加法和減法的問題。加法可以簡單的通過一個全加器就能實現(xiàn),而減法則需要被減數(shù)轉(zhuǎn)換成補碼再減去2n才可實現(xiàn)。而最后的結(jié)果需要以8421BCD碼的形式來輸出,所以計算結(jié)果大于9時需要加上6(即0110)才可以。所以我設(shè)計的電路圖首先是使用四個輸入來構(gòu)成一位十進制數(shù)的二進制碼,然后通過設(shè)計寄存器CP脈沖的頻率來使所形成的二進制碼在不同的時間分別進入寄存器A和寄存器B,接著為了是延遲時間降到最小,我設(shè)計將寄存器A,B中的數(shù)輸入寄存器C,在一起出給運算電路。在運算電路中,我又設(shè)置了一個脈沖,通過這個脈沖的高低電位來選擇使用加法電路或是減法電路。最后輸出的

5、數(shù)經(jīng)過處理再輸入8位全加器8fadd進行相加后以8421BCD碼的形式輸出。 設(shè)計方案包括三個模塊:分別是數(shù)據(jù)輸入部分,加/減運算部分,數(shù)據(jù)輸出部分。關(guān)鍵詞:全加器(74283),寄存器A/B(74175),寄存器C(74244),8位全加器8fadd,加法運算電路,減法運算電路,8421BCD碼轉(zhuǎn)換電路。目錄簡單加/減運算電路III1 設(shè)計主要內(nèi)容及要求III2 設(shè)計過程及論文的基本要求III3 時間進度安排III數(shù)字電子技術(shù) 課程設(shè)計成績評定表IV摘要V1 設(shè)計任務(wù)描述11.1課程設(shè)計題目:簡單加/減運算電路11.2 設(shè)計主要內(nèi)容及要求12 設(shè)計思路23設(shè)計方框圖44 各部分電路設(shè)計及參數(shù)

6、調(diào)整54.1各部分電路設(shè)計54.2各部分參數(shù)調(diào)整165 簡單加/減運算電路過程分析175.1簡單加/減運算總電路圖:175.2電路圖的工作過程分析176 元器件清單227主要元器件介紹237.1寄存器74175簡單介紹:237.2寄存器74244簡單介紹:247.3全加器74283簡單介紹:257.4加法器8fadd簡單介紹:26課程設(shè)計總結(jié)28致 謝29參考文獻30附錄31簡單加/減運算總電路圖31III簡單加/減運算電路1 設(shè)計任務(wù)描述1.1課程設(shè)計題目:簡單加/減運算電路1.2 設(shè)計主要內(nèi)容及要求1.2.1設(shè)計目的:(1)掌握1位十進制數(shù)加法運算電路的構(gòu)成、原理與設(shè)計方法;(2)熟悉Qu

7、artusII的仿真方法。1.2.2基本要求:(1)實現(xiàn)二進制數(shù)的加/減法;(2)設(shè)計加數(shù)寄存器A和被加數(shù)寄存器B單元;(3)實現(xiàn)4bit二進制碼加法的BCD調(diào)整;(4)根據(jù)輸入的4bitBCD編碼自動判斷是加數(shù)還是被加數(shù)。1.2.3發(fā)揮部分:(1)拓展2位十進制數(shù)(2)MC存儲運算中間值;(3)結(jié)果存儲隊列;(4)其他。2 設(shè)計思路第一步:查資料 由于我們使用的是Quartus仿真軟件,這個軟件比較新穎。所以可以說,經(jīng)過兩天的圖書館與網(wǎng)絡(luò)的地毯式搜索,我發(fā)現(xiàn)并沒有現(xiàn)成的資料。但是我們并不是一無所獲的,在查資料過程中,我們漸漸的領(lǐng)悟到了簡單加減運算電路的原理。其實簡單加減運算電路首先簡單需要加

8、數(shù)(減數(shù))、被加數(shù)(被減數(shù))的輸入,然后將其分別存入寄存器中,再一同進入加(減)法運算電路中進行計算,最后輸出的數(shù)值進行8421BCD碼轉(zhuǎn)換輸出即可。第二步:構(gòu)建電路基本思路整個電路的核心內(nèi)容和部分是由加法電路和減法電路構(gòu)成的,我使用的是加減分離的思路,加法是一部分,減法是另一部分的電路,。它是由一支脈沖(C)來控制的:高電位時執(zhí)行減法電路; 低電位時執(zhí)行加法電路。圖2.1加/減計算執(zhí)行電路第三步:構(gòu)建電路基本框架輸入部分:原本我計劃十四線的編碼器74147來實現(xiàn)一位十進制數(shù)的輸入,但是不能實現(xiàn)十進制數(shù)0的輸出,于是后來我直接使用4個脈沖輸入來構(gòu)成一位十進制數(shù)的二進制碼,然后通過設(shè)計寄存器CP

9、脈沖的頻率來使所形成的二進制碼在不同的時間分別進入寄存器A和寄存器B中。加減運算部分:這是整個電路設(shè)計的關(guān)鍵部分,由于是多位的二進制計算,所以必須使用全加器,我使用的是加減分離的思路,加法是一部分,減法是另一部分的電路,他們一個脈沖來控制,低電位時執(zhí)行加法,高電位時執(zhí)行加法。輸出部分:根據(jù)課設(shè)題目要求是將結(jié)果以8421BCD碼的形式輸出,所以需要將運算電路所得出的二進制結(jié)果加以轉(zhuǎn)換,使之變成8421BCD碼的結(jié)果。第四步:完善電路為了減少延遲時間,我特地使用了第三個寄存器(74244),它是一個8輸入8輸出寄存器,我寄希望它能夠?qū)⒓拇嫫鰽B中的數(shù)一起送入運算電路,以減少結(jié)果的延遲。圖2.2加減

10、運算電路的基本框架圖3設(shè)計方框圖數(shù)值輸入寄存器A寄存器B寄存器C(74244)加法計算電路減法計算電路8421BCD碼轉(zhuǎn)換結(jié)果輸出圖3.1原理方框圖4 各部分電路設(shè)計及參數(shù)調(diào)整4.1各部分電路設(shè)計4.1.1數(shù)據(jù)輸入電路設(shè)計關(guān)于數(shù)據(jù)輸入部分,我采用的是直接由4個脈沖輸入來構(gòu)成一位十進制數(shù)的二進制碼,再設(shè)計寄存器CP脈沖的頻率來使所形成的二進制碼在不同的時間分別進入寄存器A和寄存器B中。為了減少計算結(jié)果的延遲,我又設(shè)計將這兩個寄存器中的值輸入寄存器C(74244)中,然后一起送入運算電路。(a)寄存器AB的選擇:我選擇的寄存器是74175,此寄存器是4-8線寄存器,而我只需要4個輸出端,所以可以讓

11、其他四個輸出端懸空就可。圖4.1.1 寄存器74175的使用圖4.1.2寄存器74175的參數(shù)設(shè)置圖4.1.3 寄存器74175的仿真結(jié)果 由圖4.1.2和圖4.1.3可知寄存器74175的工作原理, 關(guān)于74175元件,我們所需的功能的原理如下:CLRNCLK輸入輸出LXXLHLXQ0HHQ1Q1由此可知,我們使用此寄存器存數(shù)的時候,CLRN端和CLK端都必須是處于高電位的狀態(tài)。(b)寄存器C的選擇:我選擇的寄存器是74244,此寄存器是8-8線寄存器,可以同時存儲由寄存器AB送來的數(shù),并且一同輸出去。圖4.1.4 寄存器74244的使用圖4.1.5寄存器74244的參數(shù)設(shè)置圖4.1.6寄存

12、器74244的仿真結(jié)果 由圖4.1.5和圖4.1.6可知寄存器74244的工作原理, 關(guān)于元件74244,我們所需的功能的原理如下:1GN2GN輸入X輸入W輸出Y輸出ZLLQ1Q2Q1Q2LHQ1X Q1ZHLX Q2ZQ2HHX XZZ根據(jù)此表,我們可以一目了然的知道,1GN控制X、Y;2GN控制W、Z:低電平時輸出數(shù)值,高電平時輸出Z。(C)輸入部分的電路設(shè)計:圖4.1.7 輸入部分的電路設(shè)計圖4.1.8輸入部分電路的參數(shù)設(shè)置圖4.1.9輸入部分的電路仿真結(jié)果設(shè)計原理:首先,由4個輸入脈沖來構(gòu)成一位十進制數(shù)的二進制碼,然后通過設(shè)計寄存器CP脈沖的頻率來使所形成的二進制碼在不同的時間分別進入

13、寄存器A和寄存器B中。然后再設(shè)置寄存器74244的CP脈沖頻率傳送這兩個一位十進制的二進制碼。其頻率設(shè)置可以見圖4.1.8,即:寄存器A的頻率可以是寄存器B頻率的2倍: fA=2×fB寄存器C的頻率可以等于寄存器B頻率: fc=fB(注意:由于寄存器C低電平有效,寄存器B為上升邊沿存數(shù),所以兩者頻率的相位必須相差180度)結(jié)果如圖4.1.9,其中綠色陰影部分就是我們所需要輸入的數(shù)值。如果需要不同的輸入,我們可以通過設(shè)置X來實現(xiàn)。4.1.2加減運算部分設(shè)計關(guān)于加減運算電路,我使用的是加減分離的思路,加法是一部分,減法是另一部分的電路,他們一個控制脈沖來控制,低電位時執(zhí)行加法,高電位時執(zhí)

14、行加法。圖4.1.10 加減運算電路設(shè)計總圖(a)加減選擇電路(圖4.1.10紅色方框部分):這是由16個與門、一個非門和一個控制脈沖組成的。設(shè)計原理:根據(jù)0-1定律有:A0=0 A1=A ,所以: 當控制脈沖(kong)為低電平時, 下面8個與門的輸出均為低電平,對其后面的電路無操作;而因為中間非門的轉(zhuǎn)換,是上半部分的8個與門執(zhí)行的是A1=A,所以輸出的是原來的數(shù)值,再進入加法電路進行加法運算。 當控制脈沖(kong)為高電平時, 下面8個與門進行A1=A運算,所以輸出的全是原來的數(shù)值,再進入減法電路進行減法運算;而因為中間非門的轉(zhuǎn)換,是上半部分的8個與門執(zhí)行A0=0,導(dǎo)致輸出均為低電平,對

15、其后面的電路無操作。(b)加法電路:加法電路非常簡單,可以直接將寄存器C中的數(shù)值接入加法器中,再在加法器后面接輸出即可。在這里我采用的器件是集成4位超前進位加法器74283。它可以實現(xiàn)兩個4位二進制數(shù)的相加。而且全加器74283的功能及其強大,可以通過設(shè)置CIN端的電壓高低來實現(xiàn)加法或減法。圖4.1.11 全加器74283的使用圖4.1.12全加器74283的參數(shù)設(shè)置圖4.1.13全加器74283的仿真結(jié)果由圖4.1.13可知,在全加器74283中: CIN端口接低電平時(即藍色陰影部分),執(zhí)行加法后加0;而接高電平時,加法器執(zhí)行的是在加法運算后加1。 在輸出端口中, COUT為進位端。當結(jié)果

16、大于15時,它會變成高電平,實現(xiàn)進位。(c)減法電路:由二進制數(shù)的算術(shù)運算方法與教科書電子技術(shù)基礎(chǔ):數(shù)字部分(第五版)1可知,減法運算的原理是將減法運算變成加法運算進行的。上面提到全加器74283加法運算器既能實現(xiàn)加法運算,又可以通過改進實現(xiàn)減法運算,從而可以簡化邏輯電路結(jié)構(gòu)。圖4.1.14減法運算電路圖4.1.15(A)減法運算電路仿真結(jié)果(結(jié)果為正)圖4.1.15(B)減法運算電路仿真結(jié)果(結(jié)果為負)設(shè)計原理:若n位二進制的原碼為N原,則與它相對應(yīng)的2的補碼為:N補=2n-N原(4.1)補碼與反碼的關(guān)系式:N補=N反+1(4.2)設(shè)兩個數(shù)A、B相減,利用式(4.1)和式(4.2)可得:A-

17、B=A+B補-2n=A+B反+1-2n(4.3)此式表明,A減B可由A加B的補碼并減2n完成。所以:根據(jù)式(4.3),我們可以利用全加器74283來設(shè)計一個減法運算電路。首先,我們需要把被減數(shù)轉(zhuǎn)變?yōu)樗姆创a再加1,所以我們要在B輸入端口前加4個非門來實現(xiàn)反碼的轉(zhuǎn)換,再將全加器74283的CIN端輸入脈沖設(shè)為高電平就能實現(xiàn)結(jié)果加1,這樣補碼就可實現(xiàn)了。此部分電路圖設(shè)計如下:圖4.1.16補碼轉(zhuǎn)換電路由于2n=24=(10000)B,相加結(jié)果與2n相減只能由加法器進位輸出信號完成。當進位輸出信號為1時,它與2n的差為0;當進位輸出信號為0時,它與2n的差值為1,同時還應(yīng)發(fā)出借位信號。因此,只要將進

18、位信號取反即實現(xiàn)了減2n的運算,取反后的輸出為1時需要借位,故其結(jié)果也可當作借位信號。當借位信號為1時,表示差值為負數(shù);當借位信號為0時,差值為正數(shù)。設(shè)A、B兩數(shù)相減:當A-B0時,所得的差值就是差的原碼,借位信號為0;當A-B0時,分析其運算結(jié)果可知:前者的運算結(jié)果剛好是后者的絕對值的補碼。要使差值以原碼形式輸出,則需要對減法運算的結(jié)果進行轉(zhuǎn)換。根據(jù)前面可知,將補碼再求補得原碼。此部分的電路圖如下:圖4.1.17輸出求補電路(d)加/減運算電路:在研究加法運算與減法運算之后,經(jīng)過整理,我們的加/減運算電路圖已經(jīng)呼之欲出了: 圖4.1.18 加/減運算電路圖圖4.1.19 加/減運算電路仿真結(jié)

19、果由圖4.1.18可知,控制脈沖的高低電平控制著加數(shù)和被加數(shù)的走向,低電平的時候就送入加法運算電路;高電平的時候就送入減法運算。一旦兩者被送入一個電路圖,就會加以計算然后輸出一個二進制的運算結(jié)果。 在進行減法運算時,為了區(qū)分運算結(jié)果是正數(shù)還是負數(shù),我們必須設(shè)置一個符號端口。我們可以在減法電路中的第一片74283上大做文章:在第一片74283后的非門后面加一個與門的輸出,與門的另一端輸入與第一片74283的CIN端相連接。由于是減法運算,上面內(nèi)容有過介紹,第一片74283上的CIN端連接的是高電平,又因為A1=A,所以此與門出來的結(jié)果均與cout端輸出的值的非相同。如果此時如果借位信號是0(不需

20、借位),結(jié)果為正數(shù),反之,則為負數(shù)。4.1.3 8421BCD碼轉(zhuǎn)換電路部分在整個過程中,從數(shù)值輸入到運算結(jié)果輸出,我們都是用二進制的形式來運行得。但是課設(shè)要求的是最后結(jié)果要以8421BCD碼的形式輸出,所以,我們必須設(shè)計相關(guān)的電路來實現(xiàn)這一轉(zhuǎn)換,然后在將結(jié)果輸出。首先,我們要弄清楚8421BCD碼與二進制數(shù)無關(guān),與其有直接關(guān)系的是十進制數(shù)。然而我們要實現(xiàn)的二進制碼與8421BCD碼之間轉(zhuǎn)換,所以我們用加法器8fadd來實現(xiàn)。加法器8fadd的功能與全加器74283的功能有類似之處,加法器8fadd實現(xiàn)的是8位的二進制數(shù)相加,而全加器74283最多只可以實現(xiàn) 4位的二進制數(shù)相加。由于對于一位的

21、十進制數(shù)來說,它的二進制碼與8421BCD碼是一樣的。所以,我們要轉(zhuǎn)換的是大于9的十進制數(shù)的二進制代碼。由于十進制與8421BCD碼都是大于9之后就產(chǎn)生進位,而二進制碼要大于15才產(chǎn)生進位,所以我們要在從1010開始到10010之間的二進制數(shù)轉(zhuǎn)換為8421BCD碼的時候,在其二進制代碼的基礎(chǔ)上加0110,這樣就可以實現(xiàn)與二進制數(shù)與8421BCD碼之間的轉(zhuǎn)換了。由于加減運算電路輸出結(jié)果是5位的二進制數(shù),我們設(shè)從高位到低位的輸出分別為S5、S4、S3、S2、S1。有之前的分析可知從00000到01001的二進制碼與8421BCD碼表示方式是一樣的,所以此時不需要加上0110 。但是從01010到1

22、0010之間的二進制碼就需要加0110。 所以根據(jù)這兩組數(shù)的特點進行分析,畫卡諾圖,來判斷到底在什么情況下才加0110:1.當S5為1的時候,不論S4、S3、S2、S1 是何數(shù),都需要加0110;2.當S5為0的時候,那么,接下來就要看S4的情況:如果S4為0,那么不論S3、S2、S1是什么都不需要加0110;當S4為1時,則S3、S2至少有一個是1,否則不加0110。綜上所述:加0110的條件是:S3與S2相或后的結(jié)果和S4相與,輸出的結(jié)果再和S5相或,若此結(jié)果輸出為1,則需要加0110,否則加0000。所以,連接電路圖的方法是:將S1、S2、S3、S4、S5分別與8fadd的A1、A2、A

23、3、A4、A5相連接,然后使用與門和或門實現(xiàn)判斷是否加0110的條件,并將輸出的結(jié)果接到B2 、B3上,這樣我們就實現(xiàn)了8421BCD碼的轉(zhuǎn)換。接線方式如下圖4.1.20:圖4.1.20 8421BCD碼轉(zhuǎn)換電路圖圖4.1.21 8421BCD碼轉(zhuǎn)換電路仿真結(jié)果根據(jù)圖4.1.21的藍色陰影部分可知,當數(shù)值大于9時,電路真的自動加了0110,所以我們的設(shè)計準確無誤。4.2各部分參數(shù)調(diào)整數(shù)值輸入部分的脈沖頻率調(diào)節(jié):寄存器A的頻率可以是寄存器B頻率的2倍: fA=2×fB寄存器C的頻率可以等于寄存器B頻率: fc=fB(注意:由于寄存器C低電平有效,寄存器B為上升邊沿存數(shù),所以兩者頻率的相

24、位必須相差180度)減法運算部分的設(shè)計轉(zhuǎn)換:由二進制數(shù)的算術(shù)運算方法與教科書電子技術(shù)基礎(chǔ):數(shù)字部分(第五版)1可知:若n位二進制的原碼為N原,則與它相對應(yīng)的2的補碼為:N補=2n-N原(4.1)補碼與反碼的關(guān)系式:N補=N反+1(4.2)設(shè)兩個數(shù)A、B相減,利用式(4.1)和式(4.2)可得:A-B=A+B補-2n=A+B反+1-2n(4.3)此式表明,A減B可由A加B的補碼并減2n完成。5 簡單加/減運算電路過程分析5.1簡單加/減運算總電路圖:圖5.1 簡單加/減運算總電路圖5.2電路圖的工作過程分析整體簡單描述: 本電路圖主要用了2個寄存器74175,1個寄存器74244,3個全加器74

25、283,1個加法器8fadd。實現(xiàn)了兩個一位十進制數(shù)的相加(減),并且將結(jié)果轉(zhuǎn)化為8421BCD碼的形式輸出。 由于思路與原理在上面講得很詳細,所以這里主要是展示電路的仿真結(jié)果及部分細節(jié)解釋。為了方便解釋整體電路,我又設(shè)置了一個測試電路:圖5.2 簡單加/減運算的測試電路圖其中:數(shù)據(jù)輸入:X4,X3,X2,X1;寄存器輸出:A4,A3,A2,A1與B4,B3,B2,B1;運算電路輸出:Z5,Z4,Z3,Z2,Z1;電路總的計算結(jié)果:Y9,Y8,Y7,Y6,Y5,Y4,Y3,Y2,Y11 寄存器C輸出的仿真結(jié)果:當clk1 出現(xiàn)上升邊沿時,4位數(shù)據(jù)X4,X3,X2,X1進入寄存器A;同理,當cl

26、k2出現(xiàn)上升邊沿時,4位數(shù)據(jù)X4,X3,X2,X1進入寄存器B;然后當時鐘脈沖d出現(xiàn)下降邊沿時,寄存器AB中的數(shù)會一起進入寄存器C,在一起進入加減運算電路。此過程可以用一個表格簡單表示:DClk1Clk2輸入X寄存器A寄存器B寄存器CHXXQ1 ,Q2Q0Q0H,HLXQ1, Q2Q1Q0Q1, Q0LXQ1, Q2Q0Q2Q0, Q2LQ1, Q2Q1Q2Q1, Q2(PS:X表示穩(wěn)定的一個電位,無論高低電位)2 加減運算電路的二進制仿真結(jié)果: 加法:減法:由上述兩圖可知:信號脈沖Kong執(zhí)行的運算L加法H減法3 兩個一位十進制數(shù)相加的8421BCD碼仿真結(jié)果:4 兩個一位十進制數(shù)相減的84

27、21BCD碼仿真結(jié)果:5 連續(xù)一位十進制數(shù)相加的8421BCD碼仿真結(jié)果:6 連續(xù)一位十進制數(shù)相減的8421BCD碼仿真結(jié)果:(7)連續(xù)一位十進制數(shù)相加減的8421BCD碼仿真結(jié)果: 6 元器件清單序號名稱型號數(shù)量14-8線寄存器74175228-8線寄存器74244134位加法器74183344位加法器8fadd15二輸入與門AND2186二輸入或門OR277非門NOT58二輸入異或門XOR47主要元器件介紹7.1寄存器74175簡單介紹:7.1 .1寄存器74175的原理介紹圖7.1.1寄存器74175封裝引腳圖 圖7.1.2寄存器74175真值表 7.1.2 寄存器74175內(nèi)部原理圖:

28、 7.2寄存器74244簡單介紹:7.2.1寄存器74244原理介紹:圖7.2.1 74244封裝引腳圖 圖7.2.2 74244真值表 7.2.2 寄存器74244的內(nèi)部原理圖:7.3全加器74283簡單介紹:7.3.1全加器74283原理介紹: 圖7.3.1 全加器74283封裝引腳圖 圖7.3.2全加器74283真值表7.3.2 全加器74283的內(nèi)部原理圖7.4加法器8fadd簡單介紹:8位全加器8fadd是Quartus II開發(fā)軟件中的宏模塊運算電路宏模塊,它在Quartus II開發(fā)軟件中的代碼內(nèi)容為:TITLE "Top-level file for the 8fad

29、d macrofunction. Chooses a device-family optimized implementation." FUNCTION p8fadd (cin, a8.1, b8.1) RETURNS (cout, sum8.1);FUNCTION f8fadd (cin, a8.1, b8.1) RETURNS (cout, sum8.1);PARAMETERS(DEVICE_FAMILY);INCLUDE "aglobal.inc"SUBDESIGN 8fadd(cin : INPUT = GND;a8.1 : INPUT = GND;b8.

30、1 : INPUT = GND;cout : OUTPUT;sum8.1 : OUTPUT;)VARIABLEIF (FAMILY_FLEX() = 1) GENERATEsub : f8fadd;ELSE GENERATEsub : p8fadd;END GENERATE;BEGINIF (USED(cin) GENERATEsub.cin = cin;END GENERATE;IF (USED(a1) GENERATEsub.a1 = a1;END GENERATE;IF (USED(a2) GENERATEsub.a2 = a2;END GENERATE;IF (USED(a3) GEN

31、ERATEsub.a3 = a3;END GENERATE;IF (USED(a4) GENERATEsub.a4 = a4;END GENERATE;IF (USED(a5) GENERATEsub.a5 = a5;END GENERATE;IF (USED(a6) GENERATEsub.a6 = a6;END GENERATE;IF (USED(a7) GENERATEsub.a7 = a7;END GENERATE;IF (USED(a8) GENERATEsub.a8 = a8;END GENERATE;IF (USED(b1) GENERATEsub.b1 = b1;END GEN

32、ERATE;IF (USED(b2) GENERATEsub.b2 = b2;END GENERATE;IF (USED(b3) GENERATEsub.b3 = b3;END GENERATE;IF (USED(b4) GENERATEsub.b4 = b4;END GENERATE;IF (USED(b5) GENERATEsub.b5 = b5;END GENERATE;IF (USED(b6) GENERATEsub.b6 = b6;END GENERATE;IF (USED(b7) GENERATEsub.b7 = b7;END GENERATE;IF (USED(b8) GENER

33、ATEsub.b8 = b8;END GENERATE;cout = sub.cout;sum8.1 = sub.sum8.1;END;課程設(shè)計總結(jié)數(shù)電課程設(shè)計已經(jīng)在不知不覺中結(jié)束了,因為剛開學(xué)的緣故,一開始,我還深處放假悠閑自得的狀態(tài)中,所以導(dǎo)致在課設(shè)這一周的后幾天時間里過的苦不堪言:一直在為了電路圖的完成而焦急。在這緊張又繁忙的一周時間里,我們既有因?qū)﹄娐吩聿焕斫馐敲H慌c焦急,也有因解決出各種復(fù)雜的問題而取得一絲進步的喜悅與驕傲;既有因意見不同而相互爭論,也有因相互幫助克服困難而釋然??偠灾?,在這一周的課設(shè)時間里,不僅讓我重新感受到了對學(xué)習(xí)的渴望與追求,而且,讓我體驗到了團隊精神的強大。這學(xué)期一開學(xué)就開始的數(shù)電課設(shè),我們的任務(wù)是設(shè)計一個簡單加減運算電路。所以在這一周時間里,我們所學(xué)到的知識并不只只局限于數(shù)電書本上的知識,由于我們所做的電路題目在書本上,在網(wǎng)絡(luò)上基本找不到現(xiàn)成的資料。面對來自各個書本、網(wǎng)頁上的零零散散的信息,這一方面考驗了我們的搜索資料的能力,另一方面更是考驗了我們整理歸納資料的能力。最最重要的是,面對無資料的窘境,如何憑借自己對于數(shù)電一些皮毛的了解來設(shè)計電路?這對于我們?nèi)齻€人來說,都是一個挑戰(zhàn)。在進行課設(shè)的5天時間,我們每天都在圖書館,教室里討論著自己又有了些什么想法,討論著這里那里又有些疑問。在這5天的時間里,我們有因為老師的一句話的否定而沮喪,有因老

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論