版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、蘇州市職業(yè)大學(xué)電子信息工程學(xué)院實(shí)訓(xùn)報告蘇 州 市 職 業(yè) 大 學(xué)實(shí)習(xí)(實(shí)訓(xùn))報告 名稱 交通燈控制系統(tǒng)的設(shè)計 2013年 6 月 24 日至 2013 年 6 月28 日共 1 周學(xué)院(部) 電子信息工程學(xué)院 班 級 11微電子4 姓 名 咸崗崗 學(xué)院(部)負(fù)責(zé)人 張紅兵 系 主 任 陳偉元 指 導(dǎo) 教 師 陳偉元 目 錄第一章緒論- 1 -1.1EDA簡介- 1 -1.2歷史與發(fā)展- 1 -1.3EDA的應(yīng)用- 3 -1.4設(shè)計方法- 3 -第二章 交通燈控制系統(tǒng)簡介- 4 -2.1交通燈控制系統(tǒng)的應(yīng)用場合- 4 -2.2交通燈控制系統(tǒng)的作用- 4 -2.3交通燈控制的系統(tǒng)構(gòu)成- 5 -第三
2、章交通燈控制系統(tǒng)的設(shè)計- 5 -3.1基本要求- 5 -3.2附加要求- 6 -3.3設(shè)計思路- 6 -3.4設(shè)計流程圖- 7 -3.5器件下載編程與硬件實(shí)現(xiàn) - 8 -3.6設(shè)計步驟及程序- 8 -3.6.1設(shè)計步驟- 8 -3.7硬件實(shí)現(xiàn)及調(diào)試結(jié)果- 9 -3.7.1調(diào)試- 9 -3.7.2實(shí)驗(yàn)結(jié)果- 10 -第四章課程設(shè)計總結(jié)- 11 -附錄- 12 -參考文獻(xiàn)- 15 -第一章緒論1.1EDA簡介EDA是電子設(shè)計自動化(Electronic Design Automation)的縮寫,在20世紀(jì)60年代中期從計算機(jī)輔助設(shè)計(CAD)、計算機(jī)輔助制造(CAM)、計算機(jī)輔助測試(CAT)和
3、計算機(jī)輔助工程(CAE)的概念發(fā)展而來的。20世紀(jì)90年代,國際上電子和計算機(jī)技術(shù)較為先進(jìn)的國家,一直在積極探索新的電子電路設(shè)計方法,并在設(shè)計方法、工具等方面進(jìn)行了徹底的變革,取得了巨大成功。在電子技術(shù)設(shè)計領(lǐng)域,可編程邏輯器件(如CPLD、FPGA)的應(yīng)用,已得到廣泛的普及,這些器件為數(shù)字系統(tǒng)的設(shè)計帶來了極大的靈活性。這些器件可以通過軟件編程而對其硬件結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),從而使得硬件的設(shè)計可以如同軟件設(shè)計那樣方便快捷。這一切極大地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計方法、設(shè)計過程和設(shè)計觀念,促進(jìn)了EDA技術(shù)的迅速發(fā)展。1.2歷史與發(fā)展在電子設(shè)計自動化(英語:Electronic design auto
4、mation,縮寫:EDA)出現(xiàn)之前,設(shè)計人員必須手工完成集成電路的設(shè)計、布線等工作,這是因?yàn)楫?dāng)時所謂集成電路的復(fù)雜程度遠(yuǎn)不及現(xiàn)在。工業(yè)界開始使用幾何學(xué)方法來制造用于電路光繪(photoplotter)的膠帶。到了1970年代中期,開發(fā)人應(yīng)嘗試將整個設(shè)計過程自動化,而不僅僅滿足于自動完成掩膜草圖。第一個電路布線、布局工具研發(fā)成功。設(shè)計自動化會議(Design Automation Conference)在這一時期被創(chuàng)立,旨在促進(jìn)電子設(shè)計自動化的發(fā)展。 電子設(shè)計自動化發(fā)展的下一個重要階段以卡弗爾·米德(Carver Mead)和琳·康維于1980年發(fā)表的論文超大規(guī)模集成電路系
5、統(tǒng)導(dǎo)論(Introduction to VLSI Systems)為標(biāo)志。這一篇具有重大意義的論文提出了通過編程語言來進(jìn)行芯片設(shè)計的新思想。如果這一想法得到實(shí)現(xiàn),芯片設(shè)計的復(fù)雜程度可以得到顯著提升。這主要得益于用來進(jìn)行集成電路邏輯仿真、功能驗(yàn)證的工具的性能得到相當(dāng)?shù)母纳啤kS著計算機(jī)仿真技術(shù)的發(fā)展,設(shè)計項(xiàng)目可以在構(gòu)建實(shí)際硬件電路之前進(jìn)行仿真,芯片布線布局對人工設(shè)計的要求降低,而且軟件錯誤率不斷降低。直至今日,盡管所用的語言和工具仍然不斷在發(fā)展,但是通過編程語言來設(shè)計、驗(yàn)證電路預(yù)期行為,利用工具軟件綜合得到低抽象級物理設(shè)計的這種途徑,仍然是數(shù)字集成電路設(shè)計的基礎(chǔ)。 從1981年開始,電子設(shè)計自動化
6、逐漸開始商業(yè)化。1984年的設(shè)計自動化會議(Design Automation Conference)上還舉辦了第一個以電子設(shè)計自動化為主題的銷售展覽。Gateway設(shè)計自動化在1986年推出了一種硬件描述語言Verilog,這種語言在現(xiàn)在是最流行的高級抽象設(shè)計語言。1987年,在美國國防部的資助下,另一種硬件描述語言VHDL被創(chuàng)造出來?,F(xiàn)代的電子設(shè)計自動化設(shè)計工具可以識別、讀取不同類型的硬件描述。根據(jù)這些語言規(guī)范產(chǎn)生的各種仿真系統(tǒng)迅速被推出,使得設(shè)計人員可對設(shè)計的芯片進(jìn)行直接仿真。后來,技術(shù)的發(fā)展更側(cè)重于邏輯綜合。 目前的數(shù)字集成電路的設(shè)計都比較模塊化(參見集成電路設(shè)計、設(shè)計收斂(Desig
7、n closure)和設(shè)計流(Design flow (EDA))。半導(dǎo)體器件制造工藝需要標(biāo)準(zhǔn)化的設(shè)計描述,高抽象級的描述將被編譯為信息單元(cell)的形式。設(shè)計人員在進(jìn)行邏輯設(shè)計時尚無需考慮信息單元的具體硬件工藝。利用特定的集成電路制造工藝來實(shí)現(xiàn)硬件電路,信息單元就會實(shí)施預(yù)定義的邏輯或其他電子功能。半導(dǎo)體硬件廠商大多會為它們制造的元件提供“元件庫”,并提供相應(yīng)的標(biāo)準(zhǔn)化仿真模型。相比數(shù)字的電子設(shè)計自動化工具,模擬系統(tǒng)的電子設(shè)計自動化工具大多并非模塊化的,這是因?yàn)槟M電路的功能更加復(fù)雜,而且不同部分的相互影響較強(qiáng),而且作用規(guī)律復(fù)雜,電子元件大多沒有那么理想。Verilog AMS就是一種用于模
8、擬電子設(shè)計的硬件描述語言。此文,設(shè)計人員可以使用硬件驗(yàn)證語言來完成項(xiàng)目的驗(yàn)證工作目前最新的發(fā)展趨勢是將集描述語言、驗(yàn)證語言集成為一體,典型的例子有SystemVerilog1.3EDA的應(yīng)用現(xiàn)在對EDA的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域,都有EDA的應(yīng)用。目前EDA技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門廣泛使用。例如在飛機(jī)制造過程中,從設(shè)計、性能測試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本文所指的EDA技術(shù),主要針對電子電路設(shè)計、PCB設(shè)計和IC設(shè)計。1.4設(shè)計方法(1) 前端設(shè)計(系統(tǒng)建模RTL 級描述)后端設(shè)計(FP
9、GAASIC)系統(tǒng)建模。(2)IP復(fù)用。(3) 前端設(shè)計。(4) 系統(tǒng)描述:建立系統(tǒng)的數(shù)學(xué)模型。(5) 功能描述:描述系統(tǒng)的行為或各子模塊之間的數(shù)據(jù)流圖。(6)邏輯設(shè)計:將系統(tǒng)功能結(jié)構(gòu)化,通常以文本、原理圖、邏輯圖、布爾表達(dá)式來表示設(shè)計結(jié)果。(7) 仿真:包括功能仿真和時序仿真,主要驗(yàn)證系統(tǒng)功能的正確性及時序特性。第二章 交通燈控制系統(tǒng)簡介2.1交通燈控制系統(tǒng)的應(yīng)用場合隨著國民經(jīng)濟(jì)的收入提高,越來越多的人擁有了私家車,道路擁擠狀況已愈演愈烈,怎樣能有效地緩解交通壓力已經(jīng)是當(dāng)前交通部門應(yīng)該重點(diǎn)解決的問題。交通燈控制系統(tǒng)可以有效地在主要道路、車站,碼頭等有效地分流車輛和車流量數(shù)據(jù)監(jiān)測并且可以對違規(guī)
10、車輛進(jìn)行抓拍。它已經(jīng)是交通指揮中心對車輛監(jiān)測和控制的有效手段。2.2交通燈控制系統(tǒng)的作用隨著車輛的越來越多,道路的擴(kuò)建也越來越多,十字路口的車輛量也越來越大。這就需要交通燈控制系統(tǒng)對交通燈進(jìn)行控制,交通燈控制系統(tǒng)的作用主要實(shí)現(xiàn)城市一些大型干道及十字路口處交通燈的控制。在每一個十字路口都需要東西南北的四組紅路燈來控制。并且 紅黃綠燈之間的轉(zhuǎn)換順序和時間間隔都要一個安全、穩(wěn)定的系統(tǒng)來控制其運(yùn)行。而且一旦交通燈出現(xiàn)運(yùn)行錯誤,能夠啟動應(yīng)急措施繼續(xù)維持交通秩序并立即報警通知交通部門前來修理?;谶@種控制理論,本次實(shí)訓(xùn)設(shè)計了能夠?qū)崿F(xiàn)此功能的交通燈控制電路。2.3交通燈控制的系統(tǒng)構(gòu)成該系統(tǒng)主要由計數(shù)模塊、控
11、制模塊、分頻模塊、分位模塊以及顯示電路構(gòu)成。其中分頻模塊主要將系統(tǒng)輸入的基準(zhǔn)時鐘信號轉(zhuǎn)換為1 Hz的激勵信號,驅(qū)動計數(shù)模塊和控制模塊工作??刂颇K根據(jù)計數(shù)器的計數(shù)情況對交通燈的亮滅及持續(xù)時間進(jìn)行控制,并通過分位電路將燈亮?xí)r間以倒計時的形式通過數(shù)碼管顯示出來為保持信號,當(dāng)Hold為“1”,計數(shù)器暫停計數(shù),表示出現(xiàn)特殊情況,各方向車輛都處于禁行狀態(tài)。 第三章交通燈控制系統(tǒng)的設(shè)計3.1基本要求1. 能顯示十字路口東西、南北兩個方向的紅、黃、綠燈的指示狀態(tài),用兩組紅、黃、綠三色燈作為兩個方向的紅、黃、綠燈; 2. 南北向?yàn)橹鞲傻?,每次通行時間為25S,東西向?yàn)橹Ц傻溃看瓮ㄐ袝r間為15S;3. 能實(shí)現(xiàn)
12、正常的倒計時功能,用兩組數(shù)碼管作為東西、南北向的倒計時顯示。其中,黃燈:5S。4. 能實(shí)現(xiàn)特殊狀態(tài)的功能。按下SP鍵后,能實(shí)現(xiàn)以下特殊功能: (1)顯示倒計時的兩組數(shù)碼管閃爍; (2)計數(shù)器停止計數(shù)并保持在原來的狀態(tài); (3)東西、南北路口均顯示紅燈狀態(tài); (4)特殊狀態(tài)解除后能繼續(xù)計數(shù); 5. 能實(shí)現(xiàn)全清零功能。按下reset鍵后,系統(tǒng)實(shí)現(xiàn)全清零,計數(shù)器由初狀態(tài)計數(shù),對應(yīng)狀態(tài)的指示燈亮; 6. 用VHDL語言設(shè)計上述功能的交通燈控制器,并用層次化方法設(shè)計該電路; 7. 仿真、驗(yàn)證設(shè)計的正確性。 3.2附加要求時鐘輸入:clkin=2KHz采用分頻器分成:1Hz,然后提供給系統(tǒng)3.3設(shè)計思路交
13、通燈控制器的電路控制原理框圖如圖3-1所示,主要包括置數(shù)器模塊、定時計數(shù)器模塊、主控制器模塊和譯碼器模塊。置數(shù)器模塊將交通燈的點(diǎn)亮?xí)r間預(yù)置到置數(shù)電路中。計數(shù)器模塊以秒為單位倒計時,當(dāng)計數(shù)值減為零時,主控電路改變輸出狀態(tài),電路進(jìn)入下一個狀態(tài)的倒計時。核心部分是主控制模塊。具體控紅 綠 黃主控制器顯示器譯碼器置數(shù)器定時計數(shù)器特殊狀態(tài)清零紅 綠 黃圖3-1 電路控制原理框圖制情況見表1。狀態(tài)主干道支干道時間/S00綠燈亮紅燈亮2001黃燈亮紅燈亮510紅燈亮綠燈亮1011紅燈亮黃燈亮5表1 狀態(tài)表3.4設(shè)計流程圖 由以上要求可以得到該系統(tǒng)的程序流程圖如圖3-2所示。其中,GA、RA、YA表示A支路的
14、綠燈、紅燈、黃燈,GB、RB、YB表示B支路的綠燈、紅燈、黃燈,S表示特殊功能按鍵,T表示計時的時間。圖3-2狀態(tài)流程圖3.5器件下載編程與硬件實(shí)現(xiàn) 在進(jìn)行硬件測試時,按鍵k1對應(yīng)復(fù)位端reset,按鍵k2對應(yīng)緊急開關(guān)urgent。EDA實(shí)驗(yàn)開發(fā)系統(tǒng)上的時鐘cp2對應(yīng)計數(shù)時鐘CLK,數(shù)碼管M3、M4對應(yīng)東西走向的時鐘顯示。LED燈l16、l15、l14對應(yīng)東西走向的綠燈G1、黃燈Y1、紅燈R1。數(shù)碼管M1、M2對應(yīng)南北走向的時鐘顯示。LED燈l1、l2、l3對應(yīng)南北走向的綠燈G2、黃燈Y2、紅燈R2,對應(yīng)的硬件結(jié)構(gòu)示意圖如圖3-3所示。圖3-3硬件結(jié)構(gòu)示意圖3.6設(shè)計步驟及程序3.6.1設(shè)計步
15、驟1、啟動Quarrtus軟件;2、單擊菜單欄中的File/New命令,打開New對話框,選擇Device Design Files中的Block Diagram/Schematic,打開圖形編輯窗口;3、右擊鼠標(biāo),彈出菜單,點(diǎn)擊“Insert/Symbol”,畫一個圖形保存,同時創(chuàng)建一個工程;4、在同一個工程下,單擊菜單欄中的File/New命令,打開New對話框,選擇Device Design Files中的VHDL Files,輸入實(shí)訓(xùn)的源程序;5、單擊菜單欄中的ProcessStart Compilation命令,啟動全程編譯;6、編譯后加載源程序的Symbol;7、畫出實(shí)訓(xùn)的原理圖,
16、通過兩組交通燈來模擬控制東西、南北兩條通道上的車輛通行,所有功能在實(shí)驗(yàn)操作平臺上進(jìn)行模擬通過,根據(jù)原理其主要功能如圖3-4:圖3-4 系統(tǒng)結(jié)構(gòu)圖8、 進(jìn)行仿真,建立波形文件,單擊File/New命令,打開文件選擇窗口,然后單擊“Other Files”選項(xiàng)卡,選擇其中的“Vector Waveform File”選項(xiàng);9、輸入信號節(jié)點(diǎn),單擊ViewUtility WindowsNode Finder命令,添加端口引腳名;圖3-5仿真波形圖3.7硬件實(shí)現(xiàn)及調(diào)試結(jié)果3.7.1調(diào)試在QuartusII軟件中,通過對所設(shè)計的硬件描述語言代碼進(jìn)行波形仿真后,達(dá)到了預(yù)期效果,于是,我們在該軟件上進(jìn)行下載配
17、置設(shè)置。在Assignments菜單下選中Devices,在Family欄選擇ACEX1K,選中EPF10K10LC84-4器件。再在Assignments菜單下選中Pins按照相應(yīng)要求對管腳進(jìn)行鎖定。最后在Tools菜單下,選中Programmer,對配置方式進(jìn)行設(shè)置,這里選擇Passive Seril(PS)被動串行模式。選擇好要下載的硬件設(shè)備后點(diǎn)擊Start即可開始編程下載了。3.7.2實(shí)驗(yàn)結(jié)果第四章課程設(shè)計總結(jié)為期五天的交通燈控制系統(tǒng)的設(shè)計實(shí)訓(xùn)結(jié)束了,回顧這五天自己確實(shí)學(xué)到了不少東西。感覺自己的基本功得到了扎實(shí),也得到了有效地展示,掌握了Quartus2軟件的一系列操作步驟,例如,交通
18、燈控制系統(tǒng)結(jié)構(gòu)圖的建立、定義管腳、編程下載及硬件實(shí)現(xiàn)等。也了解了技術(shù)在交通燈控制系統(tǒng)中的應(yīng)用,能夠通過閱讀編程語句分析出交通燈的運(yùn)行狀態(tài),在本次實(shí)訓(xùn)中也犯了幾個錯誤,例如建立工程建立錯了,加載波形也錯了,不過還好最后在同學(xué)的相互討論及幫助下最終得到了解決。犯了錯并不可拍,關(guān)鍵是能夠找出錯誤,并能夠改正才是最重要的。這也體現(xiàn)了一個道理,與同學(xué)的協(xié)作是一個很重要的過程。這也是此次實(shí)訓(xùn)所要鍛煉的一個方面。這次實(shí)訓(xùn)對我來說就是一個鍛煉和提高自己技能的好機(jī)會,我的動手能力和分析問題能力得到了顯著提高。附錄 -ledcontrol.vhdlibrary ieee;use ieee.std_logic_11
19、64.all;use ieee.std_logic_unsigned.all;entity ledcontrol is port(reset,clk,urgen :in std_logic; state :out std_logic_vector(1 downto 0); sub,set1,set2 :out std_logic);end ledcontrol;architecture a of ledcontrol is signal count:std_logic_vector(6 downto 0); signal subtemp:std_logic;beginsub<=subte
20、mp and(not clk);statelabel:process(reset,clk)beginif reset='1' then count<="0000000" state<="00"elsif clk'event and clk='1' then if urgen='0' then count<=count+1;subtemp<='1'else subtemp<='0'end if; if count=0 then state&
21、lt;="00"set1<='1'set2<='1' elsif count=40 then state<="01"set1<='1' elsif count=45 then state<="10"set1<='1'set2<='1' elsif count=85 then state<="11"set2<='1' elsif count=90 then count&
22、lt;="0000000"else set1<='0'set2<='0'end if;end if;end process statelabel;end a; -ledshow.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledshow is port( clk,urgen :in std_logic; state :in std_logic_vector(1 downto 0); sub,set1,set2
23、:in std_logic; r1,g1,y1,r2,g2,y2 :out std_logic; led1,led2 :out std_logic_vector(7 downto 0);end ledshow;architecture a of ledshow is signal count1,count2:std_logic_vector(7 downto 0); signal setstate1,setstate2:std_logic_vector(7 downto 0); signal tg1,tg2,tr1,tr2,ty1,ty2:std_logic;beginled1<=&qu
24、ot;11111111" when urgen='1' and clk='0' else count1;led2<="11111111" when urgen='1' and clk='0' else count2;tg1<='1' when state="00" and urgen='0' else '0'ty1<='1' when state="01" and urgen=
25、39;0' else '0'tr1<='1' when state(1)='1' or urgen='1' else '0'tg2<='1' when state="10" and urgen='0' else '0'ty2<='1' when state="11" and urgen='0' else '0'tr2<='1' when state(1)='0'or urgen='1' else '0'setstate1<= "01000000" when state="00" else "00000101"when state="11" else "01000101"setstate2<= "01000000" when state="1
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度山林承包權(quán)聯(lián)合經(jīng)營合同4篇
- 2025年度智慧社區(qū)建設(shè)項(xiàng)目承包合同補(bǔ)充協(xié)議4篇
- 2025年度大型水電站PC構(gòu)件吊裝施工合同3篇
- 2025年度事業(yè)單位離職創(chuàng)業(yè)人員創(chuàng)業(yè)項(xiàng)目風(fēng)險補(bǔ)償基金合作協(xié)議4篇
- 2024版輪流撫養(yǎng)的離婚協(xié)議范本
- 2025年度生態(tài)園區(qū)車位租賃電子合同(含綠色出行)4篇
- 2025年度智能充電樁一體化解決方案購銷合同范本4篇
- 2024綠化施工勞務(wù)分包合同范本
- 2025年度智能家居窗簾系統(tǒng)定制安裝合同范本4篇
- 2024面粉公司社區(qū)團(tuán)購代理銷售合同范本3篇
- 諒解書(標(biāo)準(zhǔn)樣本)
- 2022年浙江省事業(yè)編制招聘考試《計算機(jī)專業(yè)基礎(chǔ)知識》真題試卷【1000題】
- 認(rèn)養(yǎng)一頭牛IPO上市招股書
- GB/T 3767-2016聲學(xué)聲壓法測定噪聲源聲功率級和聲能量級反射面上方近似自由場的工程法
- GB/T 23574-2009金屬切削機(jī)床油霧濃度的測量方法
- 西班牙語構(gòu)詞.前后綴
- 動物生理學(xué)-全套課件(上)
- 河北省衡水市各縣區(qū)鄉(xiāng)鎮(zhèn)行政村村莊村名居民村民委員會明細(xì)
- DB32-T 2665-2014機(jī)動車維修費(fèi)用結(jié)算規(guī)范-(高清現(xiàn)行)
- 智能消防設(shè)備公司市場營銷方案
- 最新6000畝海帶筏式養(yǎng)殖投資建設(shè)項(xiàng)目可行性研究報告
評論
0/150
提交評論