Verilog-HDL洗衣機控制器設(shè)計_第1頁
Verilog-HDL洗衣機控制器設(shè)計_第2頁
Verilog-HDL洗衣機控制器設(shè)計_第3頁
Verilog-HDL洗衣機控制器設(shè)計_第4頁
Verilog-HDL洗衣機控制器設(shè)計_第5頁
已閱讀5頁,還剩9頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 1 設(shè)計任務(wù)及要求利用可編程邏輯器件豐富的內(nèi)部資源,借助EDA(電子設(shè)計自動化)工具(如MAXplus)把家電控制器電路集成在一片F(xiàn)PGA(現(xiàn)場可編程門陣列)芯片內(nèi),這樣就無需專門的單片機和外部邏輯電路。從而減小了電路的體積、提高了系統(tǒng)的穩(wěn)定性。本次電路設(shè)計是洗衣機控制器設(shè)計。設(shè)計的主要內(nèi)容是:(1)設(shè)計一個洗衣機控制器,使洗衣機作如下運轉(zhuǎn):定時啟動正轉(zhuǎn)20秒暫停10秒反轉(zhuǎn)20秒暫停10秒定時不到,重復(fù)上面過程。(2)若定時到,則停止,并發(fā)出音響信號。(3)用兩個數(shù)碼管顯示洗滌的預(yù)置時間(分鐘數(shù)),按倒計時方式對洗滌過程作計時顯示,直到時間到停機;洗滌過程由開始信號開始。(4)三只LED燈表

2、示正轉(zhuǎn)、反轉(zhuǎn)、暫停三個狀態(tài)。設(shè)計的主要要求是:(1)根據(jù)設(shè)計題目要求編寫相應(yīng)程序代碼(2)對編寫的VHDL程序代碼進(jìn)行編譯和仿真(3)利用實驗箱完成硬件驗證(可選)(4)總結(jié)設(shè)計內(nèi)容,完成課程設(shè)計說明書2設(shè)計原理及總體框圖洗衣機控制器的設(shè)計主要是定時器的設(shè)計,由一片F(xiàn)PGA和外圍電路構(gòu)成了電器控制部分。FPGA接收鍵盤的控制命令,控制洗衣機的進(jìn)水、排水、水位和洗衣機的工作狀態(tài)、并控制顯示工作狀態(tài)以及設(shè)定直流電機速度、正反轉(zhuǎn)控制、制動控制、起??刂坪瓦\動狀態(tài)控制(洗衣機洗滌過程如圖2所示)。對FPGA芯片的編程采用模塊化的VHDL (硬件描述語言)進(jìn)行設(shè)計,設(shè)計分為三層實現(xiàn),頂層實現(xiàn)整個芯片的功

3、能。頂層和中間層多數(shù)是由VHDL的元件例化語句實現(xiàn)。中間層由無刷直流電機控制、運行模式選擇、洗滌模式選擇、定時器、顯示控制、鍵盤掃描、水位控制以及對直流電機控制板進(jìn)行速度設(shè)定、正反轉(zhuǎn)控制、啟??刂频饶K組成,它們分別調(diào)用底層模塊。洗衣機控制器電路主要有五大部分組成,包括:減法計數(shù)器、時序控制電路、預(yù)置時間和編碼電路、數(shù)碼管顯示、譯碼器組成。具體電路如圖3所示:圖3洗衣機控制器總體設(shè)計圖3 程序設(shè)計數(shù)碼管顯示 實現(xiàn)數(shù)碼管顯示數(shù)碼管顯示編碼時序電路預(yù)置時間和編碼電路譯碼器定時器電路計時器減法計數(shù)器4 編譯及仿真EDA工具在EDA技術(shù)應(yīng)用中占據(jù)極其重要的位置,EDA的核心是利用計算機完成電子設(shè)計全程

4、自動化,因此基于計算機環(huán)境的EDA軟件的支持是必不可少的。此次設(shè)計所用EDA工具是由著名的Alter公司生產(chǎn)的MAXplus工具軟件,它是一種集成的開發(fā)環(huán)境,支持原理圖、VHDL和Verilog語言文本文件,以及波形文件作為設(shè)計輸入,并支持這些文件的人以混合設(shè)計。 圖4洗衣機控制器程序仿真圖 MAXplus工具軟件具有門級仿真器,可以進(jìn)行功能仿真和時序仿真,能夠產(chǎn)生精確的仿真結(jié)果,同是還支持主流第三方EDA工具,所以可以說MAXplus是當(dāng)今最優(yōu)秀的EDA工具軟件之一。利用MAXplus工具軟件仿真仿真結(jié)果如圖4所示5 硬件調(diào)試與結(jié)果分析洗衣機接通電源,按load設(shè)置洗滌時間按start、rd

5、置為高電平洗衣機開始工作,當(dāng)時鐘第一個上升沿到達(dá)時run(正轉(zhuǎn)功能)為高電平維持20s以后變?yōu)榈碗娖蕉鴓ause(暫停功能)隨著時鐘上升沿的到來變?yōu)楦唠娖骄S持10s變?yōu)榈碗娖?,然后rev(反轉(zhuǎn)功能)開始隨著時鐘上升沿的到來變?yōu)楦唠娖焦ぷ骶S持20s后變?yōu)榈碗娖?,再停止pause置高,接下來電路一直重復(fù)上述工作,知道定時器計數(shù)結(jié)束。電路設(shè)計完成以后,按照預(yù)定設(shè)計,輸入相應(yīng)數(shù)據(jù),三只LED燈按照設(shè)定時間規(guī)律間斷性亮起,數(shù)碼管也顯示輸入時間并按減數(shù)計時產(chǎn)生相應(yīng)的數(shù)字顯示,直到到達(dá)預(yù)定時間停止工作顯示零,實驗設(shè)計達(dá)到預(yù)期效果。6 參考文獻(xiàn)1 潘松著.EDA技術(shù)實用教程(第二版). 北京:科學(xué)出版社,20

6、05.2 康華光主編.電子技術(shù)基礎(chǔ) 模擬部分. 北京:高教出版社,2006.3 閻石主編.數(shù)字電子技術(shù)基礎(chǔ). 北京:高教出版社,2003.4 趙巖嶺 劉春等.在MAXplus平臺下用VHDL進(jìn)行數(shù)字電路設(shè)計. 西安:西電出版社,20055 無憂電子開發(fā)網(wǎng)心得體會通過這次的EDA設(shè)計,我可以說是受益良多。看到洗衣機控制器的題目,我首先想到的是狀態(tài)機的設(shè)計,因為課本上說狀態(tài)機其實就是控制器,后來經(jīng)過看書覺得應(yīng)該是摩爾型狀態(tài)機,可是自習(xí)分析后發(fā)現(xiàn)設(shè)計題目比較復(fù)雜,如果用狀態(tài)機的思路來設(shè)計,比較困難超出了我的能力。結(jié)合以前做課程設(shè)計(數(shù)字電路設(shè)計交通等控制器)的經(jīng)驗,如果用模塊化層次化的設(shè)計思路更清晰

7、,設(shè)計起來也更容易,特別是更符合EDA設(shè)計的的流程,故自己開始設(shè)計各功能模塊。洗衣機控制器主要實幾種狀態(tài)的循環(huán)改變,還有計時和數(shù)碼顯示的功能,所以我覺得電路主要有五大部分組成,包括:減法計數(shù)器、時序控制電路、預(yù)置時間和編碼電路、數(shù)碼管顯示、譯碼器組成。在分析過程中,我遇到了不少困難,因為第一次遇到一個比較復(fù)雜的設(shè)計,剛開始不知道從哪里入手,所以借鑒了一些書和網(wǎng)上的資料,主要參看了趙巖嶺 劉春等老師編著的在MAXplus平臺下用VHDL進(jìn)行數(shù)字電路設(shè)計關(guān)于控制器的一些設(shè)計方法和范例,并且在無憂電子開發(fā)網(wǎng)(我相信通過此次EDA課程設(shè)計,一定會對以后的設(shè)計工作提供很大幫助。 電子信息科學(xué)與技術(shù) 專業(yè)

8、課程設(shè)計任務(wù)書學(xué)生姓名專業(yè)班級學(xué)號題 目洗衣機控制器課題性質(zhì)A課題來源D指導(dǎo)教師焦素敏同組姓名無主要內(nèi)容(1)設(shè)計一個洗衣機控制器,使洗衣機作如下運轉(zhuǎn):定時啟動正轉(zhuǎn)20秒暫停10秒反轉(zhuǎn)20秒暫停10秒定時不到,重復(fù)上面過程。(2)若定時到,則停止,并發(fā)出音響信號。(3)用兩個數(shù)碼管顯示洗滌的預(yù)置時間(分鐘數(shù)),按倒計時方式對洗滌過程作計時顯示,直到時間到停機;洗滌過程由開始信號開始。(4)三只LED燈表示正轉(zhuǎn)、反轉(zhuǎn)、暫停三個狀態(tài)任務(wù)要求根據(jù)設(shè)計題目要求編寫相應(yīng)程序代碼對編寫的VHDL程序代碼進(jìn)行編譯和仿真條件允許,完成硬件驗證(可選)總結(jié)設(shè)計內(nèi)容,完成課程設(shè)計說明書參考文獻(xiàn)1焦素敏.EDA課程

9、設(shè)計指導(dǎo)書.鄭州:河南工業(yè)大學(xué),20082潘松,黃繼業(yè).EDA技術(shù)實用教程.北京:科學(xué)出版社,20023王國棟,潘松等.VHDL實用教程.成都:電子科技大學(xué)出版社,20004 張亦華,延明.數(shù)字電路EDA入門.北京:電子工業(yè)出版社,20035 無憂電子開發(fā)網(wǎng)審查意見指導(dǎo)教師簽字:焦素敏教研室主任簽字:張慶輝 2009年03月 6日 說明:本表由指導(dǎo)教師填寫,由教研室主任審核后下達(dá)給選題學(xué)生,裝訂在設(shè)計(論文)首頁填 表 說 明1“課題性質(zhì)”一欄:A工程設(shè)計;B工程技術(shù)研究;C軟件工程(如CAI課題等);D文獻(xiàn)型綜述;E其它。2“課題來源”一欄:A自然科學(xué)基金與部、省、市級以上科研課題;B企、事

10、業(yè)單位委托課題;C校、院(系、部)級基金課題;D自擬課題。 學(xué)院課程設(shè)計成績評價表課程名稱: 設(shè)計題目: 專業(yè): 班級: 姓名: 學(xué)號:序號評審項目分 數(shù)滿分標(biāo)準(zhǔn)說明1內(nèi) 容20思路清晰;語言表達(dá)準(zhǔn)確,概念清楚,論點正確;實驗方法科學(xué),分析歸納合理;結(jié)論嚴(yán)謹(jǐn),設(shè)計有應(yīng)用價值。任務(wù)飽滿,做了大量的工作。2創(chuàng) 新10內(nèi)容新穎,題目能反映新技術(shù),對前人工作有改進(jìn)或突破,或有獨特見解3完整性、實用性10整體構(gòu)思合理,理論依據(jù)充分,設(shè)計完整,實用性強4結(jié)果的正確性20仿真結(jié)果正確,實驗現(xiàn)象滿足設(shè)計要求5規(guī) 范 性10設(shè)計格式、繪圖、圖紙、實驗數(shù)據(jù)、標(biāo)準(zhǔn)的運用等符合有關(guān)標(biāo)準(zhǔn)和規(guī)定6紀(jì) 律 性10能很好的遵守各項紀(jì)律,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論