基于AT89C51的紅外線家電遙控設計說明_第1頁
基于AT89C51的紅外線家電遙控設計說明_第2頁
基于AT89C51的紅外線家電遙控設計說明_第3頁
基于AT89C51的紅外線家電遙控設計說明_第4頁
基于AT89C51的紅外線家電遙控設計說明_第5頁
已閱讀5頁,還剩10頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、. . . . 基于AT89C51的紅外線家電遙控設計.txt懂得放手的人找到輕松,懂得遺忘的人找到自由,懂得關懷的人找到幸福!女人的聰明在于能欣賞男人的聰明。生活是燈,工作是油,若要燈亮,就要加油!相愛時,飛到天邊都覺得踏實,因為有你的牽掛;分手后,坐在家里都覺得失重,因為沒有了方向。 本文由qingshanxiaozi貢獻 doc文檔可能在WAP端瀏覽體驗不佳。建議您優(yōu)先選擇TXT,或下載源文件到本機查看。 學院本科畢業(yè)論文(設計) 學院本科畢業(yè)論文(設計) 題目: 基于 AT89C51 的紅外線家電遙控設計 院 ( 系 ) 理工學院 : 專 年 姓 業(yè): 電子信息工程 級: 2006 級

2、 名: 學 號: 職 稱: 指導教師: 2010 年 6 月 19 日 學院本科畢業(yè)論文(設計) 目 摘 前 錄 要 1 言 3 總體設計原理分析 4 Abstract 2 第一章 1.1 紅外線遙控器工作原理 4 1.1.1 紅外線介紹 4 1.1.2 紅外線遙控原理介紹 4 1.2 紅外家電遙控器譯碼原理 6 第二章 電路的硬件設計 8 2.1 硬件控制電路介紹 8 2.2 控制電路分析 10 2.2.1 復位電路 11 2.2.2 時鐘電路 12 2.2.3 紅外線接收模塊電路 13 2.2.4 電源電路 14 2.2.5 工作指示燈電路 14 2.2.6 繼電器電路 14 2.2.7

3、蜂鳴器電路 15 2.2.8 擴展功能 16 第三章 軟件設計 17 3.1 控制程序 17 3.1.1 程序流程與說明 17 3.1.2 軟件抗干擾措施 19 3.1.3 程序片段介紹 19 結 論 22 參考文獻 23 附錄 A 24 附錄 B 25 后 記 29 學院本科畢業(yè)論文(設計) 摘 要 如今,利用紅外遙控傳輸較小的數(shù)據(jù)量來控制電器設備的應用已經(jīng)非常廣泛,對各種 紅外遙控器發(fā)出來的紅外編碼進行采集和處理就成了眾人非常關心和急需解決的問題。仔 細分析了多種遙控器所發(fā)紅外編碼的特點與其規(guī)律,詳細地闡述了利用單片機系統(tǒng)對家用 電器遙控器發(fā)出來的紅外編碼進行學習、存儲、傳輸和再生的原理,

4、來實現(xiàn)以紅外線為傳 送信息媒介的短距離無線遙控控制電路,具有準確度高、速度快的特點,本設計廣泛應用 于工業(yè)、醫(yī)療、家用電器等設備的開啟和關閉控制。 在了解了其發(fā)射的編碼脈沖信號波形后,設計了基于單片機AT89C51的紅外遙控器解 碼器,對解碼器硬件和相應軟件進行分析并給出程序流程圖,給出多功能遙控系統(tǒng)框圖, 詳細地說明其硬件組成原理和各個部分的功能,并給出了部分程序流程圖。本設計以單片 機為核心設計一種智能型紅外線遙控器,可以對各種紅外線遙控器發(fā)射的信號進行識別、 存貯和再現(xiàn)等功能,從而實現(xiàn)對各類家電的控制。 關鍵詞:紅外遙控器;單片機;編碼;解碼器;信號 1 學院本科畢業(yè)論文(設計) Abs

5、tract Today, the application, using infrared telecontrol to transmit a few data to control electric equipment, is so popularized. The problem, picking and processing the infrared code which sent by the various telecontroller, being watched out and solved eagerly by many people. We analyzed carefully

6、 the characteristic and its law of the infrared code which sent by the kinds of telecontroller. The principle, using the singlechip system to learn, store, transmit and restore the infrared code which sent by the telecontroller of electric appliance, is also detailedly expounded in this paper. By gu

7、iding of the principle, which take the infrared as the pass-along message medium short distance wireless remote control circuit, which has the accuracy to be high, the speed quick characteristic. This design widely applies in equipment and so on industry, medical service, domestic electric appliance

8、s opening with the closure control. After thecode pulse signal waveshape that infrared remote controller emits is known, the decoder of infrared remote controller based on single chip microcomputer AT89C51 is designed, the decoder hardware and appropriate software are analysed and the program proces

9、s diagrams are given. The paper puts forward frame diagram of multifunction remote control system and detailed the compose of hardware principle and function of each part, and gives part of program flu diagrams. This is to design an intelligent infrared remote focusing on single chip. It can disting

10、uish, store and recurrence to signals that all kinds of infrared remote launched. Thereby, the control of all household electrical appliances can be realized. Key words: infrared remote controller;single chip microcomputer;code decoder;signal ; ; ; 2 學院本科畢業(yè)論文(設計) 前 言 隨著社會的發(fā)展各種家用電器已經(jīng)進入了千家萬戶, 各式各樣、 琳瑯

11、滿目的家用電器, 空調(diào)、電視、音響系統(tǒng)等傳統(tǒng)意義上的家電早已成為普通百姓生活不可或缺的一部分,甚 至連投影機、數(shù)字機頂盒,電子監(jiān)控(防盜)系統(tǒng)等新興電器也正迅速步入現(xiàn)代家庭,我 們家里的遙控器越來越多,不同型號的遙控器控制不同的家電。 遙控器,想來大家并不陌生,遙控作為眾多現(xiàn)代家電的一種基本控制方式,幾乎所有 的家電產(chǎn)品都配備了遙控器,甚至現(xiàn)在連電風扇,臺燈這樣的設備都配備了遙控器??墒牵?隨著家里的電器越來越多,電器產(chǎn)品的遙控器也越來越多,這就產(chǎn)生比較多的麻煩,日常 生活中,很多人都會遇到隨手拿錯放在茶幾上各種遙控器的麻煩,不僅使用起來不方便而 且茶幾上擺放一堆遙控器也很不好看。 本設計就是

12、設計一種遙控器,可以讓我們通過一個通用的遙控器可以統(tǒng)一控制多個家 電的開關,這樣就讓對家電的控制簡單化了。但是不同企業(yè)使用的遙控器原理各有不同, 大多數(shù)的家用電器都有各自不同的遙控器,為了解決這個問題,本設計探討一個了遙控 器的設計方案:該遙控器可以通過控制繼電器而控制家電的開關,從而擁有對多臺電器 的遙控功能,即省時、又省力,又節(jié)約資源,從而使人們免除同時面對眾多遙控器的煩 惱。 本設計硬件部分是利用控制電路控制繼電器的開合,從而控制家電的開關的簡單的目 的,本設計只用了兩組繼電器,只能控制兩臺家電,而實際中我們還可以加入更多的繼電 器,達到控制更多家電的目的。 從遙控器的控制原理來說,其通

13、過紅外發(fā)出的信號通常是一種經(jīng)過特定編碼的紅外信 號,習慣稱之為遙控器編碼,不同廠家的遙控器所采用的碼型都是不一樣的,以免互相沖 突,而這個代碼也是公開的,我們只要能模擬各種遙控信號編碼,就能實現(xiàn)對相應電器的 遙控功能。 本設計主要是利用東芝牌遙控器編碼方式發(fā)射紅外控制信號,接收電路使用一體化紅 外接收模塊接收紅外信號,經(jīng)過濾除載波后將紅外信號發(fā)到單片機,而譯碼方式按照東 芝遙控器編碼方式進行解碼,譯出遙控器所按的按鍵,然后輸出動作控制繼電器開關,從 而達到用軟件驅動硬件,達到控制電器開關的目的。 3 學院本科畢業(yè)論文(設計) 第一章 總體設計原理分析 1.1 紅外線遙控器工作原理 1.1.1

14、紅外線介紹 紅外線是一種電磁波,具有與無線電波與可見光一樣的本質(zhì)。人的眼睛能看到的可見 光按波長從長到短排列, 依次為紅、 橙、 黃、 青、 綠、 藍、 紫。 其中紅光的波長圍為 0.62 0.76m;紫光的波長圍為 0.380.46m。比紫光波長還短的光叫紫外線,比紅光波長還 長的光叫紅外線。紅外線的波長在 0.76100m 之間,位于無線電波與可見光之間。紅外 線遙控就是利用波長為 0.761.5m 之間的近紅外線來傳送控制信號的。 由于紅外線遙控裝置具有體積小、功耗低、功能強、成本低等特點,因而,繼彩電、 錄像機之后,在錄音機、音響設備、空調(diào)機以與玩具等其它小型電器裝置上也紛紛采用紅 外

15、線遙控。工業(yè)設備中,在高壓、輻射、有毒氣體、粉塵等環(huán)境下,采用紅外線遙控不僅 完全可靠而且能有效地隔離電氣干擾。 此外紅外線通信還具有性強,信息容量大,結構簡單,既可以是室使用,也可 以在野外使用, 由于它具有良好的方向性, 適用于國防邊界哨所與哨所在之間的通信, 但在野外使用時易受氣候的影響1。 1.1.2 紅外線遙控原理介紹 紅外線遙控器是以紅外線發(fā)光 LED, 發(fā)射波長 940nm 的紅外線不可見光, 來傳送信號。 整個遙控器系統(tǒng)分為發(fā)射端與接收端兩部分, 發(fā)射端經(jīng)過紅外線發(fā)射 LED 送出紅外線控制 信號,這些信號經(jīng)過紅外線接收模塊接收端接收進來,并對其控制信號做譯碼而做相對的 動作輸

16、出完成遙控的功能。 紅外通信由來已久,但是進入 90 年代,隨著科學技術的不斷進步和地球空間技術的 發(fā)展,使人們對紅外線技術的研究越來越深入,應用圍更廣泛,尤其是在紅外遙感技術 和紅外通信技術領域里,數(shù)字鎖相技術和傳感器技術的巨大進步,大大加速了這個進程, 目前無線產(chǎn)品在商業(yè)銷售中的使用已相當普遍,但大多存在著很大的局限性,電路繁雜, 計算難度大且多為模擬電路,抗干擾能力差,準確度底,電路的維護調(diào)試很不方便。越來 越多的遠距離控制和數(shù)據(jù)通信系統(tǒng)引入了不可見的紅外線作為傳輸媒介進行通信,組成了 無線紅外遙控通信系統(tǒng),此方法以其成本底、精度高、性強、技術性能穩(wěn)定的特點而 受到廣大用戶和專業(yè)人士的歡

17、迎。 常用的紅外遙控系統(tǒng)一般分發(fā)射和接收兩個部分。發(fā)射部分的主要元件為紅外發(fā)光二 極管,它實際上是一只特殊的發(fā)光二極管,由于其部材料不同于普通發(fā)光二極管,因而 在其兩端施加一定電壓時它發(fā)出的是紅外線而不是可見光。目前大量使用的紅外發(fā)光二極 管發(fā)出的紅外線波長為 940nm 左右,外形與普通 5 發(fā)光二極管一樣,只是顏色不同,紅 4 學院本科畢業(yè)論文(設計) 外發(fā)光二極管一般有黑色、深藍、透明三種顏色。 接收部分的紅外接收管是一種光敏二極管,只對紅外光線有敏感作用,在實際應用中 要給紅外接收二極管加反向偏壓,它才能正常工作,亦即紅外接收二極管在電路中應用時 是反向運用,這樣才能獲得較高的靈敏度。

18、紅外接收二極管一般有圓形和方形兩種,由于 紅外發(fā)光二極管的發(fā)射功率一般都較?。?00mW 左右),所以紅外接收二極管接收到的信 號比較微弱,因此就要增加高增益放大電路。 紅外發(fā)射器 AND 門 信號放大 38kHz 載波信號 紅外線發(fā)射二極管 發(fā)射紅外線信號 圖1-1 紅外線發(fā)射器的工作方塊圖 紅外線發(fā)射器的工作流程如圖 1-1 所示,當按下某一按鍵后,遙控器上的控制芯片便 進行編碼產(chǎn)生一組句柄,結合載波電路的載波信號(為 38kHz)而成為合成信號,經(jīng)過放 大器提升功率而推動紅外線發(fā)射二極管,將紅外線信號發(fā)射出去,所要發(fā)射的句柄必須加 上載波才能使信號傳送的距離加長,一般遙控器的有效距離為

19、10m。 紅外線 信號接收 紅外線接收模塊 單片機 AT89C51 控制 圖1-2 紅外線接收的工作方塊圖 紅外線接收的工作方塊圖如圖1-2所示,其主要控制組件為紅外線接收模塊,其部含 有高頻的濾波電路,專門用來濾除紅外線合成信號的載波信號(38kHz)而送出發(fā)射器的 控制信號。當紅外線合成信號進入紅外線接收模塊,在其輸出端便可以得到原先的數(shù)字控 制編碼,只要經(jīng)過單片機譯碼程序進行譯碼,便可以得知按下那一按鍵,而作出相應的控 制處理,完成紅外線遙控的動作。 由于每家廠商設計出來的遙控器一定不一樣,即使是使用一樣的控制芯片,也會做特 5 學院本科畢業(yè)論文(設計) 殊的編碼設計,以避免遙控器間互相

20、的干擾。在本設計中將以東芝牌電視遙控器為例子來 做實驗說明,這款遙控器使用國遙控器最常用的編碼芯片PT2221或是兼容芯片。 其中的編碼數(shù)據(jù)包含廠商固定編碼與按鍵編碼,廠商固定編碼為避免與其他家廠商重 復,而按鍵編碼則是遙控器上的各個按鍵編碼。 例如按下遙控器的 1 鍵,則會發(fā)送出以下的 4 字節(jié)出去: 40 BF 01H ED BF”為廠商固定編碼,“01H ED”則為1鍵按鍵編碼,廠商編碼只要是 其中“40 東芝牌電視遙控器就是固定的,各個按鍵編碼則依按鍵不同而不一樣。 各個位編碼方式是以波寬信號來調(diào)變,低電平0.8ms加上高電平0.4ms則編碼為“0”, 低電平0.8ms加上高電平1.6

21、ms則編碼為“1”。 當按下遙控器上的某一按鍵則會產(chǎn)生特定的 一組編碼,結合38kHz載波信號發(fā)射出去,加上載波信號可以增加發(fā)射距離。 1.2 紅外家電遙控器譯碼原理 遙控電路使用89C51單片機作控制,通過紅外線接收模塊接收信號,因為接收模塊有 自動濾除載波功能,所以紅外線接收模塊輸出的信號就是遙控器所產(chǎn)生的編碼,通過電路 傳到單片機,單片機識別出遙控器的按鍵,作相應的動作。 紅外線接收模塊是一種光敏二極管與濾波器組成, 光敏二極管只對紅外光線有敏感作 用,在實際應用中要給紅外接收二極管加反向偏壓,它才能正常工作,亦即紅外接收二極 管在電路中應用時是反向運用,這樣才能獲得較高的靈敏度。紅外接

22、收二極管一般有圓形 和方形兩種,由于紅外發(fā)光二極管的發(fā)射功率一般都較?。?00mW左右),所以紅外接收 二極管接收到的信號比較微弱,因此就要增加高增益放大電路。因此在紅外線發(fā)射端紅外 線信號加載在一個38kHz載波上發(fā)射出去,這樣即增加了信號強度,也增強了信號的傳輸 距離,使用起來更加方便。 紅外線發(fā)射器使用東芝牌紅外線遙控器,遙控器發(fā)出編碼,紅外接收模塊接收信號, 并傳回單片機進行解碼。使用的控制軟件包含完整的東芝牌電視紅外遙控器解碼,使用 單片機匯編語言進行譯碼。因為東芝遙控器是使用的4字節(jié)(32位)編碼信號,所以譯碼 程序取出4字節(jié)(32位)編碼信號。 遙控器接收信號方式如圖1-3所示,

23、然后進行譯碼動作流程圖。 6 學院本科畢業(yè)論文(設計) 紅 紅 外 發(fā) 射 塊 模 碼 收 譯 接 機 外 片 單 繼 電 器 開 關 / 信 號 指 示 燈 圖1-3 電路譯碼流程 本設計采用的是用繼電器連接家電的電源,通過繼電器控制家電的開關,而繼電器的 控制是受單片機的電平輸出來控制的,當單片機通過紅外線接收模塊收到紅外線信號時, 通過譯碼程序識別出遙控器所按得鍵,通過設定好的程序,確定單片機是輸出高電平或低 平,從而使繼電器作出相應的動作。 在單片機的匯編語言中, 我們將各個按鍵用匯編語言指定代碼, 例如, 將1鍵編號為01H, 2鍵編號為02H,這個編碼是單片機用來識別遙控器所做的動

24、作。當遙控器譯出所按鍵時, 單片機使繼電器做相應的動作,達到控制目的。 本設計中,設定了1234四個按鍵編碼程序,當按下1鍵和2鍵時單片機控制繼電器做出 相應的動作,每一個按鍵對應不同的繼電器。例如按一下1鍵繼電器閉合,蜂鳴器發(fā)出嘩 的一聲,指示燈閃爍一下,再按一下1鍵繼電器打開,蜂鳴器發(fā)出嘩的一聲,指示燈閃爍。 而按下3鍵和4鍵時,只有指示燈和蜂鳴器有動作,繼電器沒有動作,所以3鍵和4鍵只是測 試按鍵。 7 學院本科畢業(yè)論文(設計) 第二章 電路的硬件設計 2.1 硬件控制電路介紹 本設計的紅外線信號發(fā)射器使用東芝牌紅外線遙控器,遙控器發(fā)出紅外信號編碼,編 碼加載在38kHz載波上發(fā)射出去,

25、紅外接收模塊接收信號并濾除載波,并傳回單片機進 行解碼。使用的控制軟件包含完整的東芝牌電視紅外遙控器解碼,使用單片機匯編語言進 行譯碼。因為東芝遙控器是使用的4字節(jié)(32位)編碼信號,所以譯碼程序取出4字節(jié)(32 位)編碼信號。 使用89C51單片機作控制,通過紅外線接收模塊接收信號,因為接收模塊有自動濾除 載波功能,所以紅外線接收模塊輸出的信號就是遙控器所產(chǎn)生的編碼,通過電路傳到單片 機,單片機識別出遙控器的按鍵,作相應的動作。 完整的控制電路如圖2-1所示。 VCC C2 20pF C1 20pF VCC C3 10uF SW-PB P3.4 P3.5 R3 1K 14 15 29 30

26、1 2 3 4 5 6 7 8 P3.2 VCC Y1 31 19 18 9 12 13 U1 EA XTAL1 VCC XTAL2 RST S1 INTR0/P3.2 INTR1/P3.3 TIMER 0/P3.4 TIMER 1/P3.5 PSEN ALE P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 VSS 20 89C51 40 P0.0(AD0) P0.1(AD1) P0.2(AD2) P0.3(AD3) P0.4(AD4) P0.5(AD5) P0.6(AD6) P0.7(AD7) RD/P3.7 WR/P3.6 TXD/P3.1 RXD/P3.0

27、 P2.7(A15) P2.6(A14) P2.5(A13) P2.4(A12) P2.3(A11) P2.2(A10) P2.1(A9) P2.0(A8) 39 38 37 36 35 34 33 32 17 16 11 10 28 27 26 25 24 23 22 21 D1 R1 1K LED VCC VCC D4 K2 RELAY-SPDT J3 1 2 3 Q3 NPN VCC VCC J1 1 2 CON2 CON3 C4 10uF P3.2 1 3 R6 100 U3 VCC VDD 2 Q1 NPN GND R9 10K R10 1K GND HONG WAI OUT VCC

28、 R5 D3 K1 RELAY-SPDT J2 1 2 3 CON3 R2 10K R7 10K VCC R8 1K Speaker VCC Q2 NPN 1K D2 LED P3.4 P3.5 R4 100 LS1 VCC 圖2-1 控制電路 由總電路圖可已看出,當電路通電后電源指示燈亮起,有紅外線信號時,紅外線接收 8 學院本科畢業(yè)論文(設計) 模塊接收紅外信號經(jīng)過濾除載波之后,通過單片機INT0腳傳回單片機,經(jīng)單片機程序譯 碼比較,識別出遙控器所按的鍵,再控制繼電器和蜂鳴器做相應動作。工作指示燈為狀態(tài) 指示燈,當紅外線信號出現(xiàn)時,工作指示燈LED會亮起作指示,信號收集完畢后,LED會 熄

29、滅。當單片機接收到紅外接收模塊傳回的信號,按程序譯出遙控器所做的動作,會由 T1腳或WR 腳發(fā)出對繼電器的控制信號,通過繼電器的開關控制家電產(chǎn)品電源的開啟或關 閉。 圖2-2 AT89C51引腳圖 電路的核心芯片是一個AT89C51單片機,主要作用包括譯碼,控制電路等功能。它是 由多種集成電路組合而成,從而達到不同的功能。 AT89C51 單片機是 ATMAL 公司 89 系列單片機的一種 8 位 Flash 單片機。 它最大特點 是片含有 Flash 存儲器,用途十分廣泛,特別是在生產(chǎn)便攜式商品,手提式儀器等方面, 有著十分廣泛的應用2 ,AT89C51 外部引腳如圖 2-2 所示。 AT8

30、9C51 單片機部主要有以下部件:8031CPU、振蕩電路、總線控制部件、中斷控 制部件、片 Flash 存儲器、片 RAM、并行 I/O 接口、定時器和串行 I/O 接口。AT89C51 是 89 系列單片機的標準型,它是與 MSC-51 系列單片機兼容的。在部含有 4KB 或 8KB 可重復編程的 Flash 存儲器,可進行 1000 次擦寫操作。全靜態(tài)工作為 0-24MHz,有 3 級程 序鎖存器,部含有 128-256 字節(jié)的 RAM,有 32 條可編程 I/O 口線,2-3 個 16 位定時/計 數(shù)器,6-8 個中斷源,通用的串行接口,低電壓空閑與電源下降方式。 單片機部組成框圖如圖

31、2-3所示。 9 學院本科畢業(yè)論文(設計) 外部定 時元件 系統(tǒng)時鐘 定時/計數(shù)器 復位 ROM 中斷 串行 I/O 口 并行 I/O 口 CPU 電源 RAM 圖2-3 AT89C51單片機的部結構圖 XTAL1 和 XTAL2 分別為反向放大器的輸入和輸出。該反向放大器可以配置為片振 蕩器。石晶振蕩和瓷振蕩均可采用。如采用外部時鐘源驅動器件,XTAL2 應不接。有余 輸入至部時鐘信號要通過一個二分頻觸發(fā)器,因此對外部時鐘信號的脈寬無任何要求, 但必須保證脈沖的高低電平要求的寬度。 AT89C51 單片機部由 CPU、4KB 的 FPEROM ,128B 的 RAM,兩個 16 位的定時/

32、計數(shù)器 T0 和 T1,4 個 8 位的 I/O 端 P0、P1、P2、P3 等組成。單片機部最核心的部分是 CPU。CPU 主要功能是產(chǎn)生各種控制信號,控制存儲器、輸入/輸出端口的數(shù)據(jù)傳輸、數(shù)據(jù) 的算術運算、邏輯運算以與位操作處理等,CPU 按其功能可分為運算器和控制器兩部分。 控制器由程序計數(shù)器 PC、 指令儲存器、 指令譯碼器、 實時控制與條件轉移邏輯電路等組成。 它的功能是對來自存儲器中的指令進行譯碼,通過實時控制電路,在規(guī)定的時刻發(fā)出各種 操作所需的部和外部的控制信號,使各部分協(xié)調(diào)工作,完成指令所規(guī)定的操作。運算器 由算術邏輯器部件 ALU、累加器 ACC、暫存器、程序狀態(tài)字寄存器

33、PSW,BCD 碼運算調(diào) 整電路等組成3。 2.2 控制電路分析 本設計分為硬件設計和軟件設計,這兩者相互結合,不可分離。從時間上看,硬件設 計的絕大部分工作量是在最初階段,到后期往往還要做一些修改。只要技術準備充分,硬 件設計的大返工是比較少的,軟件設計的任務貫徹始終,到中后期基本上都是軟件設計任 務,隨著集成電路技術的飛速發(fā)展,各種功能很強的芯片不斷出現(xiàn),使硬件電路的集成度 越來越高,硬件設計的工作量在整個項目中的所占的比重逐漸下降。但是硬件是每個產(chǎn)品 的基礎,只有硬件電路設計合理后才能進行之后的設計,所以遙控器的控制電路設計非常 重要。 紅外線遙控器控制電路分為以下幾個單元: (1)復位

34、電路 (2)時鐘電路 10 學院本科畢業(yè)論文(設計) (3)紅外線接收模塊電路 (4)電源電路 (5)工作指示燈電路 (6)繼電器電路 (7)蜂鳴器電路 下面將分析控制電路的各個主要電路單元。 2.2.1 復位電路 單片機的復位都是靠外部電路來實現(xiàn)的。在時鐘電路工作后,只要在單片機的復位 (RST)腳上出現(xiàn) 24 個時鐘振蕩脈沖(也就是 2 個機器周期)以上的高電平,單片機便實現(xiàn)初 始化狀態(tài)復位。 由按鍵 S13 以與電解電容 C5、電阻 R5 構成按鍵與上電復位電路。由于單片機是高電 平復位,所以當按鍵 S13 按下時候,單片機的 9 腳 RET 管腳處于高電平,此時單片機處于 復位狀態(tài)。當

35、上電后,由于電容的緩慢充電,單片機的 9 腳電壓逐步由高向低轉化,經(jīng)過 一段時間后,單片機的 9 腳處于穩(wěn)定的低電平狀態(tài),此時單片機上電復位完畢,系統(tǒng)程序 從 0000H 開始執(zhí)行。 使 CPU 進入初始狀態(tài),從 0000H 地址開始執(zhí)行程序的過程叫系統(tǒng)復位。從實現(xiàn)系統(tǒng) 復位的方法來看, 系統(tǒng)復位可分為硬件復位和軟件復位。 硬件復位必須通過 CPU 外部的硬 件電路給 CPU 的 RESET 端加上足夠時間的高電位才能實現(xiàn)。上電復位,人工按鈕復位和 硬件看門狗復位均為硬件復位。硬件復位后,各專用寄存器的狀態(tài)均被初始化,且對片 通用寄存器的容沒有影響。但是,硬件復位還能自動清除中斷激活標志,使中

36、斷系統(tǒng)能 夠正常工作,這樣一個事實卻容易為不少編碼人員所忽視。軟件復位就是用一系列指令來 模擬硬件復位功能,最后通過轉移指令使程序從 0000H 地址開始執(zhí)行。對各專用寄存器的 復位操作是容易的,也沒有必要完全模擬,可根據(jù)實際需要去主程序初始化過程中完成。 值得注意的是,在設計當中使用到了硬件復位和軟件復位兩種功能,由上面的硬件復 位后的各狀態(tài)可知寄存器與存儲器的值都恢復到了初始值,而前面的功能介紹中提到了倒 計時時間的記憶功能,該功能的實現(xiàn)的前提條件就是不能對單片機進行硬件復位,所以設 定了軟復位功能。軟復位實際上就是當程序執(zhí)行完畢之后,將程序指針通過一條跳轉指令 讓它跳轉到程序執(zhí)行的起始地

37、址。 傳統(tǒng)的復位方法有阻容上電復位和按鍵電平復位,如圖 2-4 所示,本設計采用按鍵手 動復位電路,它們實際上利用 RC 充放電原理實現(xiàn)的復位電路,從外部給 RST 腳 2 個機器 周期以上的高電平。 在實際應用系統(tǒng)中,為了保證復位電路可靠地工作,常常將 RC 電路接斯密特電路后 再接入單片機的復位端和外圍電路復位端。這樣就特別適用于應用現(xiàn)場干擾大、電壓波動 大的工作環(huán)境,并且當系統(tǒng)有多個復位端時,也能夠保證可靠的同步復位4。 11 學院本科畢業(yè)論文(設計) VCC C3 10uF RST S1 SW-PB R3 1K 圖2-4 復位電路 當 AT89C51 的 RST 引腳到高電平時,單片機

38、就會復位。按下按紐 S13,將高電平接 到 RST 端,從而復位。復位后,單片機就從 0000H 地址開始執(zhí)行程序。P0P3 四個并行 接口全為高電平,其他寄存器全部清零,只有 SBUF 寄存器狀態(tài)不確定。 2.2.2 時鐘電路 時鐘電路是計算機的心臟,它控制著計算機的工作節(jié)奏。CPU 就是通過復雜的時序電 路完成不同的指令功能的。MCS-51 的時鐘信號可以由兩種方式產(chǎn)生:一種是部方式, 利用芯片部的振蕩電路,產(chǎn)生時鐘信號;另一種為外部方式,時鐘信號由外部引入。 C2 XTAL1 20pF C1 20pF Y1 XTAL2 圖2-5 時鐘電路 MCS-51 單片機有 HMOS 型和 CHMO

39、S 型,它們的時鐘電路有一定區(qū)別。部時鐘電 路, 利用 AT89C51 部一個高增益的反向放大器, 把一個晶振體和兩個電容器組成的自激 振蕩電路接于 XTAL1(19 腳)和 XTAL2(18 腳)之間。這樣,振蕩器發(fā)出的脈沖直接送 入部時鐘電路。晶振體可以是石英晶體或瓷結構,振蕩頻率可任選,一般頻率在 1.2 12MHz。對于石英晶體振蕩器,電容 C3,C4 在 20pF 左右,對于瓷振蕩器,C3,C4 約 20pF 左右。 部時鐘電路如圖 2-5 所示, MCS-51 的部工作時鐘也可以由外部振蕩器提供,這 時,對 HMOS 型芯片,外部振蕩器的信號接至 XTAL2,即部時鐘發(fā)生器的輸出端

40、,而 部反相放大器的輸人端 XTAL1 應接地.在 CHMOS 電路中,因部時鐘發(fā)生器的信號取 自反相放大器的輸入端(即與非門的一個輸入端), 故采用外部時鐘源時, 接線方式與 HMOS 12 學院本科畢業(yè)論文(設計) 型的有所不同:外部信號接至 XTALI,XTAL2 不用。對外部振蕩器的信號沒有特殊的要求, 一般為 0.512 MHz 的方波,方波的波形應盡量規(guī)即上升沿、下降沿盡可能垂直。 本設計時鐘電路使用的是一個 11.0592MHz 的晶振,所以單片機的一個機器周期是 1.085s,由此可算出單片機執(zhí)行程序時在計算延時子程序時間隔的時間。 2.2.3 紅外線接收模塊電路 紅外線接收模

41、塊是一個紅外線接收與濾波一體化模塊。當有紅外線信號時,紅外接收 端接收紅外信號,濾除載波,并通過引腳傳回單片機進行解碼分析??梢哉f紅外線接收 模塊式整個電路的一個窗口,紅外線接收電路在整個電路中占有非常重要的作用,只有紅 外接收模塊正常工作時才能保證整個電路正常工作。 紅外接收頭的外形,均有三只引腳,即電源正VDD、電源負(GND)和數(shù)據(jù)輸出(Out)。 接收頭的引腳排列因型號不同而不盡一樣,因接收頭的外形不同而引腳有所區(qū)別,本設計 用的是是紅外線一體化接收模塊,工作條件如下: 工作電壓:4.85.3V 工作電流:1.72.7mA 接收頻率:38kHz 峰值波長:980nm 靜態(tài)輸出:高電平

42、輸出低電平:0.4V 輸出高電平:接近工作電壓 紅外線接收模塊的3個引腳不能接錯,否則恐有燒毀部電路之虞,最左邊引腳(1號) 為數(shù)字信號輸出, 中間接地, 右邊為電源輸入, 在電源輸入端處并接有RC的電源濾波電路。 在焊接完接收模塊后可以使用邏輯筆接觸紅外線接收模塊的信號輸出端,一邊按住紅外線 遙控器某一按鍵, 使其不斷地發(fā)射紅外線遙控器信號, 若是接收模塊正常, 邏輯筆脈沖LED 便會閃動,這是自行檢測紅外線遙控器發(fā)射與接收是否正常的最簡單的方法。 紅外線接收模塊電路如圖2-6所示。 C4 10uF P3.2 1 3 R6 100 U3 VCC VDD 2 GND HONG WAI OUT

43、圖2-6 紅外接收模塊電路 13 學院本科畢業(yè)論文(設計) 由于紅外線接收模塊對燈光照射十分敏感,觀察一般家電產(chǎn)品的紅外接收模塊處前都 有濾光的面板,因此在做實驗時,不能將燈光直接照射在接收模塊處,以免造成干擾產(chǎn)生 誤動作,做出不正確的解碼。 2.2.4 電源電路 如圖2-7所示,電源電路是一個由兩孔插針、一個1k保護電阻、一個LED發(fā)光二極管組 成。當外部電源接到J1時,電路開始通電,LED發(fā)光二極管亮起,代表電路通電的指示。 其中1k電阻起到保護LED發(fā)光二極管的作用,避免LED發(fā)光二極管燒壞, 起到保護的作用。 此電源電路是整個電路供電單元,J1接一個外部5V的直流電壓源,電壓不能過大否

44、則 會燒壞其它電路,但也不能過小,電壓過低會影響單片機正常工作。 R5 1K D2 LED VCC J1 1 2 CON2 圖2-7 電源電路 2.2.5 工作指示燈電路 工作指示燈電路如圖2-8所示,發(fā)光二極管接單片機的P3.7腳,稱為工作指示燈LED, 當單片機此引腳送出低電平時,LED點亮,高電平時,LED熄滅。此電路作用就是當單片 機接收到紅外線接收模塊傳回的信號時,單片機會發(fā)出電脈沖,LED發(fā)光二極管會閃爍, 表示單片機有接收到紅外線信號,也可依據(jù)LED閃動情況,可以判斷程序執(zhí)行的正確性與 顯示程序執(zhí)行的結果,本設計LED指示燈起到直觀的指示是否檢測到紅外線信號的作用。 D1 P3.

45、7 LED 圖2-8 R1 1K VCC 工作指示燈電路 2.2.6 繼電器電路 繼電器所扮演的角色是一組可以電氣控制的開關, 因此是串聯(lián)到電器的AC110V電源回 路中,其功能是可以取代電器上的開關,在電路圖中的繼電器線圈驅動電壓為直流5V,做 電器上的開關時,其流過的電流負載不能過大,約2A較保險,以免燒毀繼電器或是單片 14 學院本科畢業(yè)論文(設計) 機控制電路。 VCC D3 K1 RELAY-SPDT J2 1 2 3 CON3 本設計的繼電器電路圖如圖2-9所示,其中電阻R7,R8為保護電阻,穩(wěn)壓管D3起到保 護繼電器的作用,當外部電源超出繼電器的最大電壓后,穩(wěn)壓管首先會被擊穿,達

46、到保護 繼電器的目的。其中P3.5接單片機的T1腳,繼電器的開關控制信號都是由此引腳發(fā)出。經(jīng) 過三極管信號放大控制繼電器的開關,J2連接電器的電源開關,直接控制電器電源的是否 導通。 2.2.7 蜂鳴器電路 蜂鳴器是常見的輸出裝置,當有按鍵按下時,可以發(fā)出嘩的一聲,用以指示有按鍵被 按下了,也可以用來播放音樂、音響或是用于語音錄音回放。一般小型蜂鳴器分為蜂鳴器 與傳統(tǒng)的蜂鳴器,蜂鳴器比傳統(tǒng)蜂鳴器小,它是利用送出的震蕩頻率來發(fā)聲的5。 聲音的頻譜圍約在幾十到幾千赫茲,若能利用程序來控制單片機某個口線的“高” 電平或低電平,則在該口線上就能產(chǎn)生一定頻率的矩形波,接上蜂鳴器就能發(fā)出一定頻率 的聲音,

47、若再利用延時程序控制“高”“低”電平的持續(xù)時間,就能改變輸出頻率,從而 改變音調(diào),使蜂鳴器發(fā)出不同的聲音。 蜂鳴器電路單元如圖2-10所示,在控制電路中單片機的T0腳是蜂鳴器的驅動位,當單 片機持續(xù)送出工作脈沖就可以推動蜂鳴器發(fā)出嘩的聲響, 當工作頻率越高時, 聲音越清脆, 工作頻率越低時,聲音則較低沉,過低的工作頻率則無法使蜂鳴器發(fā)出聲音。 P3.5 R7 10K VCC R8 1K Q2 NPN 圖2-9 繼電器電路 15 學院本科畢業(yè)論文(設計) Q1 NPN P3.4 R4 100 LS1 R2 10K Speaker VCC VCC 圖2-10 蜂鳴器電路 當程序執(zhí)行到相應的段落時,

48、蜂鳴器會發(fā)出不同的響聲,用來表示所按的鍵。如按下 K1鍵時,蜂鳴器會發(fā)出嘩的一聲,工作指示燈也會閃動。當按下K2鍵時,會發(fā)出兩聲。而 按未編碼的按鍵時,工作指示燈閃動,蜂鳴器無動作。 2.2.8 擴展功能 本設計以東芝牌電視紅外線遙控器來遙控繼電器開啟關閉,進而直接控制家電開關, 可以將此應用做功能擴充, 進而遙控啟動任何電源啟動的裝置, 不必要直接開啟電源開關, 增加使用的方便性。還可以增加其他紅外線遙控器按鍵功能,并增加多組繼電器開啟或關 閉控制電器。 16 學院本科畢業(yè)論文(設計) 第三章 軟件設計 3.1 控制程序 3.1.1程序流程與說明 程序分析環(huán)節(jié)是為程序設計做一個總體規(guī)劃。從程

49、序的功能來看可分為兩大類:一類 是執(zhí)行程序,它能完成各種實質(zhì)性的功能,如解碼,定時,計算,輸出控制等,另一類是 控制程序,它是專門用來協(xié)調(diào)各執(zhí)行模塊和操作者的關系,在系統(tǒng)軟件中充當組織調(diào)度角 色的程序。這兩類程序的設計方法各有特色,執(zhí)行程序的設計偏重算法效率,與硬件關系 密切,千變?nèi)f化??刂瞥绦蚴歉鶕?jù)設計者想要達到的功能設計的,與設計者的思路有密切 的關系。 流程圖是使用圖形表示算法的思路是一種極好的方法,不論采用何種程序設計方法, 程序總體結構確定后,一般以程序流程圖的形式對其進行描述。總體框圖中的各個子模塊 或各個子任務也應該結合具體的教學模型和算法畫出較詳細的程序流程圖,供后面編寫具 體

50、程序和閱讀程序使用。 流程圖是由一些圖框和流程線組成的,其中圖框表示各種操作的類型,圖框中的文字 和符號表示操作的容,流程線表示操作的先后次序。流程圖的基本結構為順序結構,分 支結構(又稱選擇結構) ,循環(huán)結構。 主程序控制的工作流程圖如圖3-1所示。 在主控程序循環(huán)中主要工作為等待紅外線信號 出現(xiàn),并跳過前導信號,開始收集連續(xù)32位的編碼數(shù)據(jù),存入存的連續(xù)空間。紅外線信 號譯碼后輸出4字節(jié)數(shù)據(jù),經(jīng)由程序比較處理,再控制繼電器動作與蜂鳴器發(fā)出聲響做動 作指示。工作指示燈LED所扮演的角色為狀態(tài)指示,當確認紅外線的信號出現(xiàn)時,LED會 亮起做指示。信號收集譯碼完畢后,LED會熄滅。 IR-IN是

51、將紅外線信號譯碼的子程序,其輸出結果為4字節(jié)數(shù)據(jù)。紅外線信號譯碼數(shù)據(jù) 占用連續(xù)4字節(jié)的存空間, 并以R0寄存器間接尋址方式做存取。 是存放在變量IRCOM處, 而程序中實際程序比較處理時,只比較了第3個字節(jié)(即按鍵編碼),程序中使用數(shù)字鍵 1234,其第3個字節(jié)正是數(shù)字1234,程序代碼定義如下: IR 遙控器按鍵1234比較 CODE_K1 CODE_K2 CODE_K3 CODE_K4 EQU 01H EQU 02H EQU 03H EQU 04H 在比較時便可以輕易處理。在一般情況下都可以正常動作,但在有噪聲干擾的時候則 會產(chǎn)生誤動作。通常較理想的比較應該是做完整比較,比較完4個字節(jié)數(shù)據(jù)

52、,才做出相應 動作,則較難產(chǎn)生誤動作。 17 學院本科畢業(yè)論文(設計) 主程序開始 繼電器 OFF 紅外線信號輸入位 設為高電平 LED 閃動表示開始 壓電喇叭發(fā)出嘩的一聲表示開始 等待紅外線出現(xiàn) 并進行解碼 N Y 紅外線解碼數(shù)據(jù)比較處理 控制繼電器動作與壓電喇叭指示 圖 3-1 主程控流程圖 控制字程序說明如下: (1)DELAY:延遲子程序; (2)LED_BL:工作指示燈閃爍; (3)BZ:蜂鳴器發(fā)出嘩的一聲; (4)DE:短暫延遲; (5)DEL:0.1ms延遲子程序; (6)OP:紅外線譯碼后執(zhí)行相對動作; 18 學院本科畢業(yè)論文(設計) (7)IR_IN:IR譯碼4字節(jié)數(shù)據(jù)。 3

53、.1.2 軟件抗干擾措施 為了減少干擾對脈沖信號的影響,提高系統(tǒng)的抗干擾能力,在軟件上采用了抗干擾措 施。措施之一為讀出計數(shù)值設計一個“窗口”,只有計數(shù)值落在“窗口”才為有效值, 窗口圍為±0. 1ms (對應計數(shù)值為64H) ,即: “0”圍: 1F4H ±64H , “1”圍:5DCH ±64H , 只有位于“窗口”的計數(shù)值才作為有效計數(shù)值。措施之二是確定識別碼,只有讀到正確的 識別碼時,才對其后的16 個字節(jié)進行解碼。 3.1.3 程序片段介紹 下面介紹幾段主要程序的片段,整個程序就是由這樣不同功能的片段組成,從而達到 控制的目的。 1. BEGIN: CL

54、R CLR SETB BZ: MOV R6,#0 B1: CALL DE CPL SPK DJNZ R6,B1 MOV R5,#5 CALL DELAY RET 此段程序在單片機部存儲器00H單元進行初始化,主要對P3.5,P3.6 I/O口進行清0 操作, 并對P3.2 I/O口置高電平, 并且調(diào)用了BE和LED_BC偽指令, 使蜂鳴器發(fā)出嘩的一聲, 而且LED燈開始正常閃爍,程序初始化結束后進入主程序。 2.LOOP: MOV R0,#IRCOM CALL IR_IN CALL OP 19 RY1 RY2 IRIN CALL BZ CALL LED_BL 學院本科畢業(yè)論文(設計) JMP

55、LOOP 此段程序為整個系統(tǒng)的主要程序部分,其功能主要為將30H單元的數(shù)送入R0,其后 調(diào)用了偽指令IR_IN,此偽指令主要判斷IR信號,并通過LED在顯示相對應的結果,偽指 令OP主要在程序執(zhí)行的過程中完成對蜂鳴器的控制。JMP LOOP此指令為跳轉,即跳回偽 指令LOOP,使整個主程序進入一個循環(huán)往復的過程。 3.DELAY: MOV R6,#50 D1: MOV R7,#100 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,DELAY RET 此段函數(shù)是一個延時子函數(shù)程序,根據(jù)MOV指令(占1機械周期) ,DJNZ指令(占2 機械周期) 和程序對R6, R7送入的立即數(shù), 可以得出關于延時時間為R5*10ms的延時指令。 表3-1為程序執(zhí)行時間。 表3-1 指令 DELAY: MOV R6,#50 D1:MOV R7,#100 DJNZ R7,$ DJNZ R6,D1 DJNZ R5, DELAY RET 1 1 2 2 2 2 1s 1 s 2 s 2 s 2 s 2 s 延時子程序指令執(zhí)行時間 機器周期數(shù) 花費時間 在實際電路中所用的晶振是11.0592MHz,所以上表所示的時間只是大概時間,并不是 非常精確,精確的機器

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論