基于VHDL的直流電機調(diào)速系統(tǒng)_第1頁
基于VHDL的直流電機調(diào)速系統(tǒng)_第2頁
基于VHDL的直流電機調(diào)速系統(tǒng)_第3頁
基于VHDL的直流電機調(diào)速系統(tǒng)_第4頁
基于VHDL的直流電機調(diào)速系統(tǒng)_第5頁
已閱讀5頁,還剩9頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、 北華大學(xué)電子系統(tǒng)綜合實習(xí)報告 直流電機數(shù)字調(diào)速系統(tǒng)設(shè)計學(xué) 院: 電氣信息工程學(xué)院專 業(yè): 通信工程班 級: 通信14-2 姓 名: 秦帝 學(xué) 號: 20指導(dǎo)教師: 董 勝 山傳文 實習(xí)日期: 2015.9.59.16目 錄目錄一.實習(xí)題目 3二.實習(xí)目的 3三.實習(xí)技術(shù)要求 1、 VHDL簡介 32 、QuartusII簡介 4四.實現(xiàn)原理 4五.模塊設(shè)計1、8進制計器 52、18進制計數(shù)器 63、 字顯示控制模塊74、 直流電機驅(qū)動原理六.仿真 15七.參考文獻19八.教師評語20一、實習(xí)題目:直流電機數(shù)字調(diào)速系統(tǒng)設(shè)計要求:(1)實現(xiàn)直流電機的數(shù)字調(diào)速系統(tǒng)整體設(shè)計。 (2)流電機的選型及驅(qū)

2、動電路設(shè)計、光電編碼器接口設(shè)計。(3)編寫軟件實現(xiàn)直流電機的數(shù)字調(diào)速PID控制功能。二、實習(xí)目的:1)硬件實訓(xùn)目的:1熟悉EDA實驗箱的基本工作原理。2熟悉并掌握EDA實驗箱各個模式的功能。3提高學(xué)生的動手能力。2)軟件實訓(xùn)目的:1 熟悉并掌握quartus軟件的使用。2 熟練的使用原理圖輸入設(shè)計方法,VHDL語言編寫程序,進一步了解和掌握各個程序語言,提高編程的熟練程度。3 掌握基本器件設(shè)計的方法思想,如計數(shù)器;掌握點陣LED顯示字的基本原理。4 拓寬學(xué)生知識面,增強工程意識,培養(yǎng)學(xué)生的分析和解決實際問題的能力。5 提高學(xué)生的動手能歷。三、實習(xí)技術(shù)與要求:1 、 VHDL簡介介于目前,電子系

3、統(tǒng)正向集成化、大規(guī)模和高速度的方向發(fā)展,傳統(tǒng)的門級描述方法顯得過于瑣碎,難以掌控。VHDL(Very High Speed Integrated Circuit Hardware Description Language)是美國國防部與1983年發(fā)起創(chuàng)建,并在1987年作為“IEEE標準1067”發(fā)布,它是一種全方位的硬件描述語言通常適用于系統(tǒng)行為級和寄存器傳輸級(RTL)的描述,整個自頂向下或自底向上的電路計。過程都可以用VHDL來完成。它允許設(shè)計者用它來進行數(shù)字邏輯系統(tǒng)的仿真驗證、時序分析、邏輯綜合,它是目前應(yīng)用最為廣泛

4、的一種硬件描述語言。使用VHDL進行自頂向下的設(shè)計,即為使用VHDL模型在所有的綜合級別上對硬件設(shè)計進行說明、建模以及仿真測試。此外,VHDL還有如下優(yōu)點:由于其寬泛的描述能力,VHDL成為高層設(shè)計的核心,設(shè)計人員只需花較少的精力在物理實現(xiàn)上而把工作重心轉(zhuǎn)移到系統(tǒng)功能的實現(xiàn)和調(diào)試上;VHDL是一種標準語言,其可移植性好,而且其設(shè)計不依賴特定的器件,工藝轉(zhuǎn)換方便;VHDL代碼簡潔明確,使用起來靈活方便且易于結(jié)果的交流、保留和重用。由于能夠進行編程、除錯、再編程和重復(fù)操作,可以充分地進行設(shè)計開發(fā)和驗證,以便適用于不同情形的需要,延長了其產(chǎn)品再市場地的壽命。2、 QuartusII簡介本次設(shè)計是基于

5、Altera公司的QuartusII軟件。Quartus II 是Altera公司的綜合性PLD開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計輸入到硬件配置的完整PLD設(shè)計流程。QuartusII支持Altera的IP核,包含了LPM/MegaFuction宏功能模塊庫,使用它,可以簡化設(shè)計的復(fù)雜性,加快設(shè)計速度。QuartusII平臺支持一個工作組環(huán)境下的設(shè)計要求,其中包括支持基于Internet的協(xié)作設(shè)計。此外,QuartusII通過和

6、DSP Builder工具與Matlab/SIMULINK相結(jié)合,可以方便地實現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)開發(fā),集系統(tǒng)級設(shè)計、嵌入式軟件設(shè)計、可編程邏輯設(shè)計于一體,是一種綜性的開發(fā)平臺。四、實驗原理:一臺直流電機原則上既可以作為電動機運行,也可以作為發(fā)電機運行,這種原理在電機理論中稱為可逆轉(zhuǎn)原理。當(dāng)原動機驅(qū)動電樞繞組在主磁極N、S之間旋轉(zhuǎn)時,電樞繞組上感生出電動勢,經(jīng)電刷、換向器裝置整流為直流后,引向外部負載(或電網(wǎng)),對外供電,此時電機做直流發(fā)電機運行。如用外部直流電源,經(jīng)電刷換向器裝置將直流電流引向電樞繞組,則此電流與主磁極N、S產(chǎn)生的磁場互相作用,產(chǎn)生轉(zhuǎn)矩,驅(qū)動

7、轉(zhuǎn)子與連接于其上的機械負載工作,此時電機做直流電動機運行。直流電機控制電路主要由三部分組成:FPGA中PWM脈寬調(diào)制信號產(chǎn)生電路;工作/停止控制和正/反轉(zhuǎn)方向控制電路;片外功率放大電路和H橋正/反轉(zhuǎn)功率驅(qū)動電路。在CLK0的作用下,鋸齒波計數(shù)器輸出周期性線性增加的鋸齒波,當(dāng)計數(shù)值小于設(shè)定值時,數(shù)字比較器輸出低電平,當(dāng)計數(shù)值大于設(shè)定值時,數(shù)字比較器輸出高電平,由此產(chǎn)生周期性的PWM波形。分頻模塊和計數(shù)模塊共同控制輸出頻率。旋轉(zhuǎn)方向控制電路控制直流電動機轉(zhuǎn)向及啟動/停止,該電路由兩個2選1選擇器組成Z/F鍵控制選擇PWM波形從正端Z進入H橋,還是從負端F進入H橋,以控制電機的轉(zhuǎn)動方向。START鍵

8、通過“與”門控制PWM的輸出實現(xiàn)對電機的工作/停止控制。H橋電路由大功率晶體管組成,PWM波形通過方向控制送到H橋,經(jīng)功率放大以后驅(qū)動電機轉(zhuǎn)動。五、模塊設(shè)計 本小組設(shè)計的直流電機調(diào)速系統(tǒng),由五個模塊構(gòu)成。底層模塊分別為:分頻器模塊,標準計數(shù)器模塊,加速器模塊和比較器模塊。最終經(jīng)由頂層模塊把底層模塊連接成一個整體,共同構(gòu)成直流電機調(diào)速系統(tǒng)。5.1 分頻器模塊該系統(tǒng)需要輸出頻率為10KHz,通過該分頻模塊將實驗板上50MHZ的輸出頻率降低到實驗要求。5.2 標準計數(shù)器模塊該模塊既是計數(shù)器模塊,計數(shù)時最大計數(shù)值為1,又與分頻模塊共同控制輸出頻率。該模塊的輸入頻率來自分頻模塊的100KHz,從而使直流

9、電機的輸出頻率等于10KHz。5.3 加速器程序設(shè)定值計數(shù)器設(shè)置PWM信號的占空比。當(dāng)U/D=1,輸入CLK2使設(shè)定值計數(shù)器的輸出值增加,PWM占空比增加,電機轉(zhuǎn)速加快。當(dāng)U/D=0,輸入CLK2,使設(shè)定值計數(shù)器的輸出值減小,PWM占空比減小,電機轉(zhuǎn)速變慢。5.4 比較器當(dāng)計數(shù)值小于設(shè)定值時數(shù)字比較器輸出低電平,當(dāng)計數(shù)值大于設(shè)定值時,數(shù)字比較器輸出高電平,由此產(chǎn)生PWM波形。5.5 頂層模塊的設(shè)計 頂層模塊是貫穿所有底層模塊的連接器。運用頂層可以輕松實現(xiàn)層次化的設(shè)計。運用頂層設(shè)計首先要把元件例化。元件例化語句由兩部分構(gòu)成,前一部分是對一個現(xiàn)成的設(shè)計實體定義為一個元件,第二部分則是此元件與當(dāng)前設(shè)

10、計實體中的相關(guān)端口的說明,語句格式如下:首先在結(jié)構(gòu)體中聲明元件:COMPONENT <元件名> GENERIC(類屬參數(shù)說明); PORT(端口參數(shù)列表);END COMPONENT;<標號>: <元件名> GENERIC MAP(參數(shù)映射); PORT MAP(端口映射);以上兩個部分語句在元件例化中都是必須存在的。第一部分語句是元件定義語句,相當(dāng)于對一個現(xiàn)成的設(shè)計實體進行封裝,使其只露出對外的接口界面。就像一個集成芯片之流出幾個引腳在外一樣,“類屬表”可列出端口的數(shù)據(jù)類型和參數(shù),“端口表”可列出對外通信的各端口名。元件例化的第二部分語句即為元件例化語句。

11、其中,“例化名”是必須存在的,它類似為標在當(dāng)前系統(tǒng)中的一個插座名?!霸眲t是準備在此插座上插入的、已定義好的元件名。PORT MAP是端口映射的意思,其中的端口名是在元件定義語句中的端口名表中已定義好的元件端口的名字,連接端口名則是當(dāng)前系統(tǒng)與準備接入的元件對應(yīng)端口相連的通信端口,相當(dāng)于插座上各插針的引腳名。元件例化時的端口列表可按位置關(guān)聯(lián)方法,如u1,這種方法要求的實參(該設(shè)計中連接到端口的實際信號,如ci,等)所映射的形參(元件的對外接口信號)的位置同元件聲明中的一樣;元件例化時的端口列表也可按名稱關(guān)聯(lián)方法映射實參與形參,如u2。格式為(形參1=>實參1,形參2=>實參2,&

12、#183;··)。這種方法與位置無關(guān)。參數(shù)化元件可增加元件例化的靈活性。所謂參數(shù)化元件是指元件的規(guī)模(或特性)可以通過引用參數(shù)的形式指定的一類元件。關(guān)聯(lián)法要求信號的位置十分重要,不能放錯;而且,一旦位置關(guān)聯(lián)例化語句確定后,連接元件的源文件中的端口表內(nèi)的信號排列位置就不能再變動,因此,一般不推薦使用此類關(guān)聯(lián)標書來編程。頂層設(shè)計程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity top isport (keyin,min,clkin: in std_logic;pwm

13、 :out std_logic);end entity top;architecture fd1 of top iscomponemt jishuqi /調(diào)用常數(shù)發(fā)生器port(key,m:in std_logic;qw:out std_logic_vector(4 downto 0);end componemt;componemt cntb /調(diào)用鋸齒波發(fā)生器port(clkw: in std_logic; q:out std_logic_vector(4 downto 0);end componemt;componemt fenpin /調(diào)用分頻器port(clk:in std_logic

14、;clock:out std_logic);end componemt;componemt bijiaoqi /調(diào)用比較器port(dataa,datab:in std_logic_vector(4 downto 0);agb:out std_logic);end componemt;signal net1 : std_logic;signal net2,net3 : std_logic_vector(4 downto 0);beginu1 : jishuqi port map (key=>keyin,m=>min,qw=>net2);u2 : fenpin port map

15、 (clk=>clkin,clock=>net1);u3 : cntb port map (clkw=>net1,q=>net3);u4 : bijiaoqi port map (dataa=>net2,datab=>net3,agb=>pwm);end architecture fd1;5.6直流電機驅(qū)動原理:主要采用L298N,通過單片機的I/O輸入改變芯片控制端的電平,即可以對電機進行正反轉(zhuǎn),停止的操作,輸入引腳與輸出引腳的邏輯關(guān)系圖為驅(qū)動原理圖 實物圖模塊接口說明+5V:芯片電壓5V。 VCC:電機電壓,最大可接50V。GND:共地接法。A-D

16、-:輸出端,接電機。AD+ :為步進電機公共端,模塊上接了VCC。EN1、EN2:高電平有效,EN1、EN2分別為 IN1和IN2、IN3和IN4的使能端。IN1 IN4:輸入端,輸入端電平和輸出端電平是對應(yīng)6、基于標準計數(shù)器的仿真 基于加速器的仿真基于比較器的仿真1:2:基于分頻模塊的仿真頂層文件的仿真 頂層設(shè)計留有四個端口,分別為clkin:時鐘信號輸入端,keyin:按鍵信號輸入端,min:方向信號輸入端,PWM:信號輸出端。可以看出,在時鐘信號下,當(dāng)min為高,keyin設(shè)定為按鍵按下的次數(shù),可以看出,pwm輸出端產(chǎn)生了一個標準的pwm波形。七、 實習(xí)心得EDA實習(xí)就這樣結(jié)束了,通過E

17、DA的幾次實習(xí),為我大體上了解了Quartus的用法以及實驗箱的用法,還學(xué)會了簡單的編程。相比于傳統(tǒng)的數(shù)字電路設(shè)計(基于原理圖,采用試湊設(shè)計法對數(shù)字系統(tǒng)進行設(shè)計),現(xiàn)代系統(tǒng)設(shè)計則基于PLD硬件和EDA工具的支持,通過對芯片的設(shè)計完成功能。它采用Top-down 設(shè)計方法,采用逐級仿真技術(shù),以便早發(fā)現(xiàn)問題,修改方案,適合多人多任務(wù)的并行工作。EDA實習(xí),讓我對于這一方面產(chǎn)生了濃厚的興趣,通過自己編程,就可以設(shè)計自己的芯片,這使得我在學(xué)習(xí)之余,體會到學(xué)習(xí)的樂趣,有成就感。實習(xí)過程中,使我發(fā)現(xiàn)發(fā)現(xiàn)了自己的不足,及時的與老師同學(xué)溝通,彌補了知識上的缺陷,了解了更多。同時,我明白了很多的知識點在不同的學(xué)科里都會重復(fù)出現(xiàn),或者具有通性,在學(xué)習(xí)知識的時候,應(yīng)該注

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論