第09章 串行通信接口_第1頁
第09章 串行通信接口_第2頁
第09章 串行通信接口_第3頁
第09章 串行通信接口_第4頁
第09章 串行通信接口_第5頁
已閱讀5頁,還剩59頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1第第9 9章章 串行通信接口串行通信接口 主要內(nèi)容:主要內(nèi)容:1 1、串行傳送的基本概念、串行傳送的基本概念 2 2、串行通信的數(shù)據(jù)格式、串行通信的數(shù)據(jù)格式 3 3、串行通信接口標(biāo)準(zhǔn)、串行通信接口標(biāo)準(zhǔn) 4 4、串行通信接口的任務(wù)及組成、串行通信接口的任務(wù)及組成 5 5、可編程串行接口芯片、可編程串行接口芯片8251A 6 6、采用、采用RS-232標(biāo)準(zhǔn)的全雙工異步串行通信接口電路設(shè)計(jì)標(biāo)準(zhǔn)的全雙工異步串行通信接口電路設(shè)計(jì) 7 7、采用、采用RS-485標(biāo)準(zhǔn)的異步串行通信接口電路設(shè)計(jì)標(biāo)準(zhǔn)的異步串行通信接口電路設(shè)計(jì) 8 8、PC微機(jī)異步通信適配器的分析和使用微機(jī)異步通信適配器的分析和使用重點(diǎn)內(nèi)容:

2、重點(diǎn)內(nèi)容: 1 1、串行接口電路的標(biāo)準(zhǔn)及使用、串行接口電路的標(biāo)準(zhǔn)及使用 2 2、UARTUART的工作原理及應(yīng)用的工作原理及應(yīng)用23第第9 9章章 串行通信接口串行通信接口 9.1 9.1 串行通信的基本概念串行通信的基本概念通信方式通信方式并行通信(并行通信(B/sB/s)串行通信(串行通信(b/sb/s)一、串行通信的特點(diǎn)一、串行通信的特點(diǎn)(1 1)用一根傳輸線一位一位地傳送數(shù)據(jù)和聯(lián)絡(luò)信息;)用一根傳輸線一位一位地傳送數(shù)據(jù)和聯(lián)絡(luò)信息;(2 2)固定的數(shù)據(jù)格式(異步和同步數(shù)據(jù)格式)固定的數(shù)據(jù)格式(異步和同步數(shù)據(jù)格式) (3 3)信號(hào)的邏輯定義和)信號(hào)的邏輯定義和TTL不兼容,需進(jìn)行邏輯關(guān)不兼

3、容,需進(jìn)行邏輯關(guān) 系和邏輯電平的轉(zhuǎn)換;系和邏輯電平的轉(zhuǎn)換;(4 4)雙方按約定的通信傳輸?shù)牟ㄌ芈释ㄐ?;)雙方按約定的通信傳輸?shù)牟ㄌ芈释ㄐ牛?4第第9 9章章 串行通信接口串行通信接口 二、串行通信雙方需解決的問題二、串行通信雙方需解決的問題(1 1)雙方發(fā)送和接收數(shù)據(jù)的速率)雙方發(fā)送和接收數(shù)據(jù)的速率波特率波特率(2 2)雙方的數(shù)據(jù)格式)雙方的數(shù)據(jù)格式幀格式幀格式(3 3)雙方的數(shù)據(jù)開始與結(jié)束的確定)雙方的數(shù)據(jù)開始與結(jié)束的確定幀同步幀同步(4 4)雙方的數(shù)據(jù)的采樣)雙方的數(shù)據(jù)的采樣位同步位同步(5 5)接收方的數(shù)據(jù)的正確性)接收方的數(shù)據(jù)的正確性數(shù)據(jù)校驗(yàn)數(shù)據(jù)校驗(yàn)(6 6)接收方出錯(cuò)如何處理)接收方

4、出錯(cuò)如何處理 通信協(xié)議:通信協(xié)議:通信雙方對(duì)數(shù)據(jù)格式、同步方式、傳送速度、通信雙方對(duì)數(shù)據(jù)格式、同步方式、傳送速度、 傳送步驟、檢糾錯(cuò)方式及控制字符定義等問傳送步驟、檢糾錯(cuò)方式及控制字符定義等問 題作出的統(tǒng)一規(guī)定,通信雙方式必須共同遵題作出的統(tǒng)一規(guī)定,通信雙方式必須共同遵 守的這些約定。守的這些約定。5第第9 9章章 串行通信接口串行通信接口 三、串行數(shù)據(jù)傳送方向三、串行數(shù)據(jù)傳送方向1 1、單工傳送方式、單工傳送方式A A設(shè)備設(shè)備B B設(shè)備設(shè)備發(fā)送器發(fā)送器接收器接收器2 2、半雙傳送方式、半雙傳送方式A A設(shè)備設(shè)備B B設(shè)備設(shè)備發(fā)送器發(fā)送器發(fā)送器發(fā)送器接收器接收器接收器接收器6第第9 9章章 串

5、行通信接口串行通信接口 3 3、全雙傳送方式、全雙傳送方式收接和發(fā)送開關(guān)是由軟件控制的電子開關(guān)。收接和發(fā)送開關(guān)是由軟件控制的電子開關(guān)。電話線是全雙工。電話線是全雙工。A A設(shè)備設(shè)備B B設(shè)備設(shè)備發(fā)送器發(fā)送器發(fā)送器發(fā)送器接收器接收器接收器接收器7第第9 9章章 串行通信接口串行通信接口 四、信號(hào)的調(diào)制和解調(diào)四、信號(hào)的調(diào)制和解調(diào)五、信息的檢錯(cuò)與糾錯(cuò)五、信息的檢錯(cuò)與糾錯(cuò)1 1、奇偶校驗(yàn)或方陣檢錯(cuò)、奇偶校驗(yàn)或方陣檢錯(cuò) 只能查錯(cuò)無糾正錯(cuò)誤能力,以反饋重發(fā)方式糾錯(cuò)。只能查錯(cuò)無糾正錯(cuò)誤能力,以反饋重發(fā)方式糾錯(cuò)。2 2、循環(huán)冗余碼、循環(huán)冗余碼CRC能查錯(cuò)并有自動(dòng)糾正錯(cuò)誤能力。能查錯(cuò)并有自動(dòng)糾正錯(cuò)誤能力。計(jì)算機(jī)

6、計(jì)算機(jī)MODEMMODEMCRT電話線電話線調(diào)制調(diào)制解調(diào)解調(diào)8第第9 9章章 串行通信接口串行通信接口 1 1 0 1 0 0 10 1 0 0 0 0 01 0 1 0 1 0 11 1 1 1 0 0 11 1 0 0 0 0 101偶校驗(yàn)偶校驗(yàn)011方陣檢錯(cuò)方陣檢錯(cuò)0 0 0 0 1 001檢驗(yàn)字符檢驗(yàn)字符在接收時(shí)數(shù)據(jù)塊讀出產(chǎn)生一個(gè)檢驗(yàn)字符,將這個(gè)字符與在接收時(shí)數(shù)據(jù)塊讀出產(chǎn)生一個(gè)檢驗(yàn)字符,將這個(gè)字符與發(fā)送來的檢驗(yàn)字符進(jìn)行比較,不同表明有錯(cuò)重發(fā)。發(fā)送來的檢驗(yàn)字符進(jìn)行比較,不同表明有錯(cuò)重發(fā)。 9第第9 9章章 串行通信接口串行通信接口 六、波特率與發(fā)送六、波特率與發(fā)送/ /接收時(shí)鐘接收時(shí)鐘1

7、 1、波特率、波特率單位時(shí)間內(nèi)傳送二進(jìn)制數(shù)據(jù)的位數(shù)。單位時(shí)間內(nèi)傳送二進(jìn)制數(shù)據(jù)的位數(shù)。例:例:在異步串行通信中,傳送一個(gè)字符,包括在異步串行通信中,傳送一個(gè)字符,包括12位位 其中有其中有1個(gè)起始位,個(gè)起始位,8位數(shù)據(jù)位,位數(shù)據(jù)位,1個(gè)偶校驗(yàn)位,個(gè)偶校驗(yàn)位, 2個(gè)停止位,其傳輸速率是個(gè)停止位,其傳輸速率是1200b/s,求:每秒,求:每秒 傳送的字符的個(gè)數(shù)是多少個(gè)?傳送的字符的個(gè)數(shù)是多少個(gè)?10第第9 9章章 串行通信接口串行通信接口 2 2、發(fā)送、發(fā)送/ /接收時(shí)鐘接收時(shí)鐘 在發(fā)送數(shù)據(jù)時(shí),發(fā)送器在發(fā)送時(shí)鐘(下降沿)作用在發(fā)送數(shù)據(jù)時(shí),發(fā)送器在發(fā)送時(shí)鐘(下降沿)作用將發(fā)送移位寄存器的數(shù)據(jù)按位串行移

8、位輸出;在接收數(shù)將發(fā)送移位寄存器的數(shù)據(jù)按位串行移位輸出;在接收數(shù)據(jù)時(shí),接收器在接收時(shí)鐘(上升沿)作用下對(duì)來自通信據(jù)時(shí),接收器在接收時(shí)鐘(上升沿)作用下對(duì)來自通信線上串行數(shù)據(jù),按位串行移入接收移位寄存器。線上串行數(shù)據(jù),按位串行移入接收移位寄存器。 在實(shí)際數(shù)據(jù)傳輸過程中,收接器的采用比特率比發(fā)在實(shí)際數(shù)據(jù)傳輸過程中,收接器的采用比特率比發(fā)送器高得多的頻率的時(shí)鐘來提高定位采樣的分辨率和抗送器高得多的頻率的時(shí)鐘來提高定位采樣的分辨率和抗干擾能力。原因在于發(fā)送器發(fā)送過一個(gè)數(shù)據(jù)后,接收器干擾能力。原因在于發(fā)送器發(fā)送過一個(gè)數(shù)據(jù)后,接收器可通過更高的時(shí)鐘頻率對(duì)傳送過來的數(shù)據(jù)進(jìn)行多次采樣,可通過更高的時(shí)鐘頻率對(duì)傳

9、送過來的數(shù)據(jù)進(jìn)行多次采樣,不僅有利于實(shí)現(xiàn)收發(fā)同步,而且有利于抗干擾和提高異不僅有利于實(shí)現(xiàn)收發(fā)同步,而且有利于抗干擾和提高異步通信的可靠性。(步通信的可靠性。(16倍、倍、64倍等)倍等)11第第9 9章章 串行通信接口串行通信接口 3 3、波特因子、波特因子是發(fā)送是發(fā)送/接收接收1位數(shù)據(jù)所需要的時(shí)鐘脈沖個(gè)數(shù)。位數(shù)據(jù)所需要的時(shí)鐘脈沖個(gè)數(shù)。發(fā)發(fā)/收時(shí)鐘脈沖與波特率關(guān)系:收時(shí)鐘脈沖與波特率關(guān)系:發(fā)發(fā)/收時(shí)鐘收時(shí)鐘 Txc = Baud Factor 在異步通信中在異步通信中Factor=16 ,在同步通信,在同步通信Factor=1,在波特因子選定的情況下,可利用改變發(fā)在波特因子選定的情況下,可利用

10、改變發(fā)/收時(shí)鐘頻率收時(shí)鐘頻率來控制串行通信的波特率。來控制串行通信的波特率。4 4、傳輸距離與傳輸速率的關(guān)系、傳輸距離與傳輸速率的關(guān)系12第第9 9章章 串行通信接口串行通信接口 七、串行通信的基本方式七、串行通信的基本方式1 1、異步串行通信方式、異步串行通信方式 以字符為信息單位傳送的。一幀字符用起始位和停止位以字符為信息單位傳送的。一幀字符用起始位和停止位來完成收發(fā)同步。同一個(gè)字符的位與位是同步的,字符與字來完成收發(fā)同步。同一個(gè)字符的位與位是同步的,字符與字符間是異步的。符間是異步的。數(shù)據(jù)流的分界、定時(shí)及同步的方法的不同數(shù)據(jù)流的分界、定時(shí)及同步的方法的不同 2 2、同步串行通信方式、同步

11、串行通信方式 以數(shù)據(jù)塊為信息單位傳送,每幀信息包括多個(gè)字符,靠以數(shù)據(jù)塊為信息單位傳送,每幀信息包括多個(gè)字符,靠同步字符來完成收發(fā)雙方同步的。同步字符來完成收發(fā)雙方同步的。 同步通信不僅字符內(nèi)部的位傳送時(shí)同步的,字符與字符同步通信不僅字符內(nèi)部的位傳送時(shí)同步的,字符與字符之間傳送也是同步的,雙方必須使用同一時(shí)鐘來控制數(shù)據(jù)塊之間傳送也是同步的,雙方必須使用同一時(shí)鐘來控制數(shù)據(jù)塊傳輸過程中的定時(shí)。傳輸過程中的定時(shí)。13第第9 9章章 串行通信接口串行通信接口 9.2 9.2 串行通信的數(shù)據(jù)格式串行通信的數(shù)據(jù)格式一、起止式異步通信數(shù)據(jù)格式一、起止式異步通信數(shù)據(jù)格式一幀數(shù)據(jù)的格式一幀數(shù)據(jù)的格式起始位起始位0

12、、數(shù)據(jù)位、數(shù)據(jù)位58、校驗(yàn)位、校驗(yàn)位1和停止位和停止位12。雙方通信是靠起止位和停止位來完成信息傳輸?shù)耐?。雙方通信是靠起止位和停止位來完成信息傳輸?shù)耐健?11110LSBMSB起起止止位位0/10/10/1 0/1數(shù)據(jù)位(數(shù)據(jù)位(58)先送低后送高先送低后送高0/1校校驗(yàn)驗(yàn)位位 停止位停止位(1,1 或或2)空空閑閑位位起起止止位位0/1LSB第第n+1個(gè)字符個(gè)字符第第n個(gè)字符個(gè)字符(1位)位)(可有可無(可有可無 有為有為1位)位)(不限)(不限)14第第9 9章章 串行通信接口串行通信接口 二、面向字符的同步通信數(shù)據(jù)格式二、面向字符的同步通信數(shù)據(jù)格式一次傳送由若干個(gè)字符組成的數(shù)據(jù)塊,并

13、規(guī)定一次傳送由若干個(gè)字符組成的數(shù)據(jù)塊,并規(guī)定1010個(gè)特殊字符組成個(gè)特殊字符組成的通信控制字作為數(shù)據(jù)塊的開頭、結(jié)束標(biāo)志及整個(gè)傳輸過程的控的通信控制字作為數(shù)據(jù)塊的開頭、結(jié)束標(biāo)志及整個(gè)傳輸過程的控制信息。制信息。SYNSYNSOH標(biāo)題標(biāo)題STX數(shù)據(jù)塊數(shù)據(jù)塊ETB/ETX塊校驗(yàn)塊校驗(yàn)SYN:同步字符。同步字符。SOH:序始字符,表示標(biāo)題的開始。序始字符,表示標(biāo)題的開始。標(biāo)題:標(biāo)題:源地址、目標(biāo)地址和路由指示等。源地址、目標(biāo)地址和路由指示等。STX:文始字符,表示數(shù)據(jù)塊的開始。文始字符,表示數(shù)據(jù)塊的開始。ETB/ETX:組終組終 / 文終字符,文終字符, 表示傳送數(shù)據(jù)塊的組的結(jié)束及塊的表示傳送數(shù)據(jù)塊的

14、組的結(jié)束及塊的 結(jié)束。結(jié)束。塊校驗(yàn):塊校驗(yàn):它對(duì)它對(duì)SOH至至ETB/ETX段信息的校驗(yàn)碼。段信息的校驗(yàn)碼。15第第9 9章章 串行通信接口串行通信接口 9.3 9.3 串行通信接口標(biāo)準(zhǔn)串行通信接口標(biāo)準(zhǔn) 在串行通信中,在串行通信中, 數(shù)據(jù)終端設(shè)備數(shù)據(jù)終端設(shè)備DTE與數(shù)據(jù)通信設(shè)備與數(shù)據(jù)通信設(shè)備DCE之間的之間的連接,連接, 要符合接口標(biāo)準(zhǔn),要符合接口標(biāo)準(zhǔn), 目前使用最廣泛的是串行標(biāo)準(zhǔn)目前使用最廣泛的是串行標(biāo)準(zhǔn) RS-232C、RS-423、RS-422A、RS-485。 RS-232C美國(guó)電子工業(yè)協(xié)會(huì)(美國(guó)電子工業(yè)協(xié)會(huì)(EIA)在)在1969年為了電話網(wǎng)絡(luò)進(jìn)行年為了電話網(wǎng)絡(luò)進(jìn)行數(shù)據(jù)通信而公布的數(shù)

15、據(jù)通信標(biāo)。通過調(diào)制將邏輯數(shù)據(jù)通信而公布的數(shù)據(jù)通信標(biāo)。通過調(diào)制將邏輯 “1” 和和“0”的高低電的高低電壓轉(zhuǎn)換成高、壓轉(zhuǎn)換成高、 低不同的頻率在電話網(wǎng)絡(luò)中傳送,低不同的頻率在電話網(wǎng)絡(luò)中傳送, 在接收端用解調(diào)器在接收端用解調(diào)器把不同的頻率變?cè)谝幌盗懈叩碗妷阂员硎景巡煌念l率變?cè)谝幌盗懈叩碗妷阂员硎尽?”和和“0”。16RS-232C串行通信標(biāo)準(zhǔn)定義說明:串行通信標(biāo)準(zhǔn)定義說明: 當(dāng)初制定此標(biāo)準(zhǔn)的目的是為了使不同廠家生產(chǎn)的設(shè)備能達(dá)當(dāng)初制定此標(biāo)準(zhǔn)的目的是為了使不同廠家生產(chǎn)的設(shè)備能達(dá)到接插的到接插的“兼容性兼容性”。也就是說不同廠家所生產(chǎn)的設(shè)備,只要它。也就是說不同廠家所生產(chǎn)的設(shè)備,只要它們都有具有們都有

16、具有RS-232CRS-232C標(biāo)準(zhǔn)接口,則不需要任何轉(zhuǎn)換電路,就可以標(biāo)準(zhǔn)接口,則不需要任何轉(zhuǎn)換電路,就可以互相插接起來。這個(gè)標(biāo)準(zhǔn)僅保證硬件兼容而沒有軟件兼容。此外,互相插接起來。這個(gè)標(biāo)準(zhǔn)僅保證硬件兼容而沒有軟件兼容。此外,用它進(jìn)行數(shù)據(jù)傳輸時(shí),由于線路的損耗和噪聲干擾,傳輸距離一用它進(jìn)行數(shù)據(jù)傳輸時(shí),由于線路的損耗和噪聲干擾,傳輸距離一般不超過般不超過15m15m。通常兩計(jì)算機(jī)的近距離通信可以通過。通常兩計(jì)算機(jī)的近距離通信可以通過RS-232CRS-232C標(biāo)準(zhǔn)標(biāo)準(zhǔn)接口連接起來。接口連接起來。最初是為遠(yuǎn)程通信連接數(shù)據(jù)終端設(shè)備最初是為遠(yuǎn)程通信連接數(shù)據(jù)終端設(shè)備DTE (Data Terminal E

17、quipment)與數(shù)據(jù)通信設(shè)備與數(shù)據(jù)通信設(shè)備DCE(Data Communication Equipment)而制定的而制定的。RS-232CRS-232C標(biāo)準(zhǔn)包括機(jī)械指標(biāo)和電氣指標(biāo)。標(biāo)準(zhǔn)包括機(jī)械指標(biāo)和電氣指標(biāo)。第第9 9章章 串行通信接口串行通信接口 171. 電氣特性一、一、RS-232CRS-232C串行通信標(biāo)準(zhǔn)串行通信標(biāo)準(zhǔn)邏輯電平邏輯電平邏輯邏輯1 1(MARKMARK)= -3V= -3V-15V-15V邏輯邏輯0 0(SPACESPACE)= +3= +315V 15V 在在TxDTxD和和RxDRxD上上信號(hào)有效(接通,信號(hào)有效(接通,ONON狀態(tài),正電壓)狀態(tài),正電壓)= +

18、3V= +3V+15V+15V信號(hào)無效(斷開,信號(hào)無效(斷開,OFFOFF狀態(tài),負(fù)電壓)狀態(tài),負(fù)電壓)= -3V= -3V-15V-15V在在RTSRTS、CTSCTS、DSRDSR、DTRDTR和和DCDDCD等控制線上等控制線上!RTS!RTS:為請(qǐng)求發(fā)送信號(hào)。:為請(qǐng)求發(fā)送信號(hào)。DTEDTEDCEDCE!CTS!CTS:為允許發(fā)送信號(hào)為允許發(fā)送信號(hào)。 DCE DCE DTEDTE!DSR!DSR:為數(shù)據(jù)裝置準(zhǔn)備好信號(hào)。有效時(shí),表明:為數(shù)據(jù)裝置準(zhǔn)備好信號(hào)。有效時(shí),表明DCEDCE可以使用可以使用!DTR!DTR:為數(shù)據(jù)終端準(zhǔn)備好信號(hào)。有效時(shí)表明:為數(shù)據(jù)終端準(zhǔn)備好信號(hào)。有效時(shí)表明DTEDTE可

19、可以使用。以使用。!DCD!DCD:載波檢測(cè)輸出。:載波檢測(cè)輸出。第第9 9章章 串行通信接口串行通信接口 181. 電氣特性TTL-EIATTL-EIA電平轉(zhuǎn)換電平轉(zhuǎn)換為了能夠同計(jì)算為了能夠同計(jì)算機(jī)接口或終端的機(jī)接口或終端的TTLTTL器件連接,器件連接,必須在必須在EIA-RS-EIA-RS-232C232C與與TTLTTL電電路之間進(jìn)行電平路之間進(jìn)行電平和邏輯關(guān)系的變和邏輯關(guān)系的變換。換。 集成轉(zhuǎn)換器件集成轉(zhuǎn)換器件TTLEIATTLEIAMC1488MC1488、SN75150SN75150EIATTLEIATTLMC1489MC1489、SN75154SN75154TTLEIA TTL

20、EIA MAX232 MAX232 n232C接口采用EIA電平n高電平為3V15Vn低電平為3V15Vn實(shí)際常用12V或15Vn標(biāo)準(zhǔn)標(biāo)準(zhǔn)TTL電平電平n高電平:高電平:2.4V5Vn低電平:低電平:0V0.4V相互轉(zhuǎn)換相互轉(zhuǎn)換第第9 9章章 串行通信接口串行通信接口 192. 連接器的機(jī)械特性DB-25DB-2513131 1252514141 11313141425 異步通信的異步通信的9 9個(gè)電壓信號(hào)(含信號(hào)地個(gè)電壓信號(hào)(含信號(hào)地SGSG):): 2 2,3 3,4 4,5 5,6 6,7 7,8 8,2020,2222 20mA 20mA電流環(huán)信號(hào)電流環(huán)信號(hào) 9 9個(gè):個(gè): 1212,

21、1313,1414,1515,1616,1717,19,2319,23,2424 空引腳空引腳6 6個(gè):個(gè): 9 9,1010,1111,1818,2121,2525 保護(hù)地(保護(hù)地(PEPE)1 1個(gè),作為設(shè)備接地端:個(gè),作為設(shè)備接地端: 1 1第第9 9章章 串行通信接口串行通信接口 20DB-9DB-9 B-9型連接器與型連接器與DB-25型引腳信號(hào)定義型引腳信號(hào)定義DCDRxDTxD DTR SG DSR RTS CTS RIDB-9123456789DB-2583220764522ATAT機(jī)以后(不支持機(jī)以后(不支持20mA20mA電流環(huán)接口),電流環(huán)接口),使用使用DB-9DB-9

22、連接器,作為提供多功能連接器,作為提供多功能I/OI/O卡卡或主板上或主板上COM1COM1和和COM2COM2兩個(gè)串行接口的兩個(gè)串行接口的連接器。它只提供異步通信的連接器。它只提供異步通信的9 9個(gè)信號(hào)。個(gè)信號(hào)。DB-9DB-9型連接器的引腳分配與型連接器的引腳分配與DB-25DB-25型引型引腳信號(hào)完全不同。因此,若與配接腳信號(hào)完全不同。因此,若與配接DB-25DB-25型連接器的型連接器的DCEDCE設(shè)備連接,必須使用專門設(shè)備連接,必須使用專門的電纜線。的電纜線。第第9 9章章 串行通信接口串行通信接口 213. RS-232C的接口信號(hào)4 4條數(shù)據(jù)線、條數(shù)據(jù)線、1111條控制線、條控制

23、線、3 3條定時(shí)線、條定時(shí)線、7 7條備用和未定義線條備用和未定義線常用的只有常用的只有9 9根根第第9 9章章 串行通信接口串行通信接口 22(1)(1)聯(lián)絡(luò)控制信號(hào)線聯(lián)絡(luò)控制信號(hào)線nTxD:發(fā)送數(shù)據(jù)n串行數(shù)據(jù)的發(fā)送端nRxD:接收數(shù)據(jù)n串行數(shù)據(jù)的接收端第第9 9章章 串行通信接口串行通信接口 23nRTS:請(qǐng)求發(fā)送請(qǐng)求發(fā)送n當(dāng)數(shù)據(jù)終端設(shè)備準(zhǔn)備好送出數(shù)據(jù)時(shí),就發(fā)出有效當(dāng)數(shù)據(jù)終端設(shè)備準(zhǔn)備好送出數(shù)據(jù)時(shí),就發(fā)出有效的的RTSRTS信號(hào),用于通知數(shù)據(jù)通信設(shè)備準(zhǔn)備接收數(shù)據(jù)信號(hào),用于通知數(shù)據(jù)通信設(shè)備準(zhǔn)備接收數(shù)據(jù)nCTS:清除發(fā)送(允許發(fā)送)(請(qǐng)求響應(yīng)):清除發(fā)送(允許發(fā)送)(請(qǐng)求響應(yīng))n當(dāng)數(shù)據(jù)通信設(shè)備已

24、準(zhǔn)備好接收數(shù)據(jù)終端設(shè)備的傳當(dāng)數(shù)據(jù)通信設(shè)備已準(zhǔn)備好接收數(shù)據(jù)終端設(shè)備的傳送數(shù)據(jù)時(shí),發(fā)出送數(shù)據(jù)時(shí),發(fā)出CTSCTS有效信號(hào)來響應(yīng)有效信號(hào)來響應(yīng)RTSRTS信號(hào)信號(hào)nRTS和和CTS是數(shù)據(jù)終端設(shè)備與數(shù)據(jù)通信設(shè)備是數(shù)據(jù)終端設(shè)備與數(shù)據(jù)通信設(shè)備間一對(duì)用于數(shù)據(jù)發(fā)送的聯(lián)絡(luò)信號(hào)(握手信號(hào)間一對(duì)用于數(shù)據(jù)發(fā)送的聯(lián)絡(luò)信號(hào)(握手信號(hào))第第9 9章章 串行通信接口串行通信接口 24nDTR:數(shù)據(jù)終端準(zhǔn)備好數(shù)據(jù)終端準(zhǔn)備好n通常當(dāng)數(shù)據(jù)終端設(shè)備一加電,該信號(hào)就有效,通常當(dāng)數(shù)據(jù)終端設(shè)備一加電,該信號(hào)就有效,表明數(shù)據(jù)終端設(shè)備準(zhǔn)備就緒表明數(shù)據(jù)終端設(shè)備準(zhǔn)備就緒nDSR:數(shù)據(jù)裝置準(zhǔn)備好數(shù)據(jù)裝置準(zhǔn)備好n通常表示數(shù)據(jù)通信設(shè)備(即數(shù)據(jù)裝置)已接

25、通常表示數(shù)據(jù)通信設(shè)備(即數(shù)據(jù)裝置)已接通電源連到通信線路上,并處在數(shù)據(jù)傳輸方通電源連到通信線路上,并處在數(shù)據(jù)傳輸方式式nDTR和和DSR也可用做數(shù)據(jù)終端設(shè)備與數(shù)也可用做數(shù)據(jù)終端設(shè)備與數(shù)據(jù)通信設(shè)備間的聯(lián)絡(luò)信號(hào),例如表示設(shè)據(jù)通信設(shè)備間的聯(lián)絡(luò)信號(hào),例如表示設(shè)備的狀態(tài)備的狀態(tài)第第9 9章章 串行通信接口串行通信接口 25nSG:信號(hào)地信號(hào)地n為所有的信號(hào)提供一個(gè)公共的參考電平為所有的信號(hào)提供一個(gè)公共的參考電平nDCD:載波檢測(cè)(載波檢測(cè)(DCD)n當(dāng)本地調(diào)制解調(diào)器接收到來自對(duì)方的載當(dāng)本地調(diào)制解調(diào)器接收到來自對(duì)方的載波信號(hào)時(shí),該引腳向數(shù)據(jù)終端設(shè)備提供波信號(hào)時(shí),該引腳向數(shù)據(jù)終端設(shè)備提供有效信號(hào)有效信號(hào)nR

26、I:振鈴指示振鈴指示n當(dāng)調(diào)制解調(diào)器接收到對(duì)方的撥號(hào)信號(hào)期當(dāng)調(diào)制解調(diào)器接收到對(duì)方的撥號(hào)信號(hào)期間,該引腳信號(hào)作為電話鈴響的指示、間,該引腳信號(hào)作為電話鈴響的指示、保持有效保持有效第第9 9章章 串行通信接口串行通信接口 26nPG:保護(hù)地(機(jī)殼地)n起屏蔽保護(hù)作用的接地端,一般應(yīng)參照設(shè)備的使用規(guī)定,連接到設(shè)備的外殼或大地nTxC:發(fā)送器時(shí)鐘n控制數(shù)據(jù)終端發(fā)送串行數(shù)據(jù)的時(shí)鐘信號(hào)nRxC:接收器時(shí)鐘n控制數(shù)據(jù)終端接收串行數(shù)據(jù)的時(shí)鐘信號(hào)第第9 9章章 串行通信接口串行通信接口 27二、串行口互連二、串行口互連微機(jī)利用微機(jī)利用232C接口連接調(diào)制解調(diào)器,用于實(shí)現(xiàn)通過接口連接調(diào)制解調(diào)器,用于實(shí)現(xiàn)通過電話線路

27、的遠(yuǎn)距離通信電話線路的遠(yuǎn)距離通信MODEM微機(jī)微機(jī)2345678202223456782022數(shù)據(jù)裝置準(zhǔn)備好數(shù)據(jù)裝置準(zhǔn)備好DSRDSR數(shù)據(jù)終端準(zhǔn)備好數(shù)據(jù)終端準(zhǔn)備好DTRDTR發(fā)送數(shù)據(jù)發(fā)送數(shù)據(jù)TxDTxD接收數(shù)據(jù)接收數(shù)據(jù)RxDRxD請(qǐng)求發(fā)送請(qǐng)求發(fā)送RTSRTS允許發(fā)送允許發(fā)送CTSCTS信號(hào)地信號(hào)地GNDGND載波檢測(cè)載波檢測(cè)DCDDCD振鈴指示振鈴指示RIRI第第9 9章章 串行通信接口串行通信接口 289.1 9.1 串行接口系統(tǒng)概述串行接口系統(tǒng)概述9.1.4 RS-232C9.1.4 RS-232C串行通信標(biāo)準(zhǔn)串行通信標(biāo)準(zhǔn)電話線電話線MODEM微機(jī)微機(jī)2345678202223456782

28、022MODEM23456782022數(shù)據(jù)裝置準(zhǔn)備好數(shù)據(jù)裝置準(zhǔn)備好DSRDSR數(shù)據(jù)終端準(zhǔn)備好數(shù)據(jù)終端準(zhǔn)備好DTRDTR發(fā)送數(shù)據(jù)發(fā)送數(shù)據(jù)TxDTxD接收數(shù)據(jù)接收數(shù)據(jù)RxDRxD請(qǐng)求發(fā)送請(qǐng)求發(fā)送RTSRTS允許發(fā)送允許發(fā)送CTSCTS信號(hào)地信號(hào)地GNDGND載波檢測(cè)載波檢測(cè)DCDDCD振鈴指示振鈴指示RIRI微機(jī)微機(jī)23456782022291. 零Modem 的最簡(jiǎn)連線(3線制)微機(jī)利用微機(jī)利用232C接口直接連接進(jìn)行短距離通信。接口直接連接進(jìn)行短距離通信。這種連接不使用調(diào)制解調(diào)器,所以被稱為零調(diào)制這種連接不使用調(diào)制解調(diào)器,所以被稱為零調(diào)制解調(diào)器(解調(diào)器(Null Modem)連接。)連接。第第

29、9 9章章 串行通信接口串行通信接口 302. 零Modem標(biāo)準(zhǔn)連接TxD 2RxD 3SG 7RTS 4CTS 6DCD 8DSR 6RI 22DTR 202 TxD3 RxD7 SG4 RTS6 CTS8 DCD6 DSR22 RI20 DTR微機(jī)微機(jī)微機(jī)微機(jī)第第9 9章章 串行通信接口串行通信接口 31第第9 9章章 串行通信接口串行通信接口 3 3、信號(hào)線的連接和使用、信號(hào)線的連接和使用(1)采用)采用MODEM時(shí)時(shí)RS-232C信號(hào)線的使用信號(hào)線的使用計(jì)計(jì)算算機(jī)機(jī)接接口口調(diào)調(diào)制制解解調(diào)調(diào)器器TxDRxDRTSCTSDSRSGDCD調(diào)調(diào)制制解解調(diào)調(diào)器器TxDRxDRTSCTSDSRSG

30、DCD接接口口終終端端專用電話線專用電話線二、RS-422/RS-423接口標(biāo)準(zhǔn) 1.特點(diǎn): (1)采用平衡發(fā)送器和差動(dòng)接收器,由于是雙線傳輸,大大提采用平衡發(fā)送器和差動(dòng)接收器,由于是雙線傳輸,大大提高了抗共模干擾的能力。因?yàn)槭莾蓷l傳輸線的電位差決定邏輯高了抗共模干擾的能力。因?yàn)槭莾蓷l傳輸線的電位差決定邏輯電平電平AAAA -BB -BB -200mV +200mV +200mV,表示,表示“0 0”(2 2)傳輸速率)傳輸速率 10Mbps10Mbps(15m15m時(shí))時(shí)) 90Kbps90Kbps(1200m1200m時(shí))時(shí))2.連接MC3487MC3486BTTLRS-422A電平平衡發(fā)

31、送器差動(dòng)接收器BAA三、三、RS-485RS-485接口標(biāo)準(zhǔn)接口標(biāo)準(zhǔn)1.特點(diǎn):(1 1)兼容)兼容RS-422ARS-422A,擴(kuò)展,擴(kuò)展RS-422ARS-422A的功能;的功能;(2 2)允許在電路中有多個(gè)發(fā)送器和允許一個(gè)發(fā)送器驅(qū)動(dòng)多個(gè))允許在電路中有多個(gè)發(fā)送器和允許一個(gè)發(fā)送器驅(qū)動(dòng)多個(gè)接收器,多達(dá)接收器,多達(dá)3232個(gè)收個(gè)收/ /發(fā)器;發(fā)器;(3 3)搞干擾能力強(qiáng),傳送距離遠(yuǎn),傳輸速率高。)搞干擾能力強(qiáng),傳送距離遠(yuǎn),傳輸速率高。 數(shù)傳率:數(shù)傳率:100Kbps 1.2Km 100Kbps 1.2Km 不用不用MODEMMODEM 9.6Kbps 15Km 9.6Kbps 15Km 10Mb

32、ps 15m 10Mbps 15m四、幾種標(biāo)準(zhǔn)的比較四、幾種標(biāo)準(zhǔn)的比較 見書見書224224,表,表9.39.3列出,列出,RS-232RS-232,423423,422422,485485幾種標(biāo)準(zhǔn)的幾種標(biāo)準(zhǔn)的工作方式,直接傳輸最大距離,最大數(shù)傳率等參數(shù)。工作方式,直接傳輸最大距離,最大數(shù)傳率等參數(shù)。34第第9 9章章 串行通信接口串行通信接口 9.4 9.4 串行通信接口的任務(wù)及組成串行通信接口的任務(wù)及組成一、串行通信接口的基本任務(wù)一、串行通信接口的基本任務(wù)1 1、實(shí)現(xiàn)數(shù)據(jù)格式化、實(shí)現(xiàn)數(shù)據(jù)格式化2 2、進(jìn)行串行與并行轉(zhuǎn)換、進(jìn)行串行與并行轉(zhuǎn)換3 3、控制數(shù)據(jù)傳輸速率、控制數(shù)據(jù)傳輸速率4 4、進(jìn)

33、行錯(cuò)誤檢測(cè)、進(jìn)行錯(cuò)誤檢測(cè)5 5、進(jìn)行進(jìn)行TTL與與EIA電平轉(zhuǎn)換電平轉(zhuǎn)換6 6、提供符合提供符合EIA-RS-232C接口標(biāo)準(zhǔn)所要求的信號(hào)線接口標(biāo)準(zhǔn)所要求的信號(hào)線二、串行通信接口電路的組成二、串行通信接口電路的組成由可編程的串行接口芯片、波特率發(fā)生器、由可編程的串行接口芯片、波特率發(fā)生器、EIA與與TTL電平轉(zhuǎn)換器電平轉(zhuǎn)換器及地址譯碼電路組成。及地址譯碼電路組成。359.2 9.2 可編程串行口控制器可編程串行口控制器825082509.2.1 82509.2.1 8250的邏輯結(jié)構(gòu)和引腳功的邏輯結(jié)構(gòu)和引腳功能能 PC微機(jī)異步通信適配器是微機(jī)與微機(jī)、微微機(jī)異步通信適配器是微機(jī)與微機(jī)、微機(jī)與機(jī)與

34、MODEM及微機(jī)與外設(shè)之間進(jìn)行異步通信及微機(jī)與外設(shè)之間進(jìn)行異步通信的接口。其核心是的接口。其核心是通用異步收發(fā)傳輸器通用異步收發(fā)傳輸器UART 常見的常見的UART主要有主要有、和和。此外,還有帶更大緩沖的。此外,還有帶更大緩沖的UART,稱為,稱為和和。 第第9 9章章 串行通信接口串行通信接口 363716550引腳簡(jiǎn)介引腳簡(jiǎn)介n數(shù)據(jù)信號(hào)數(shù)據(jù)信號(hào)D7-D0:8根數(shù)據(jù)線和根數(shù)據(jù)線和CPU數(shù)據(jù)總線連接。數(shù)據(jù)總線連接。n與地址有關(guān)的信號(hào)與地址有關(guān)的信號(hào):CS0、CS1、!CS2、!ADS。當(dāng)。當(dāng)CS0=CS1=1、!CS2=0時(shí),時(shí),16550可被可被CPU訪問,并由訪問,并由A2- A0選擇要

35、訪問的寄存器。地址選通信號(hào)選擇要訪問的寄存器。地址選通信號(hào)!ADS為低電平時(shí),為低電平時(shí),鎖存三個(gè)片選信號(hào)以及鎖存三個(gè)片選信號(hào)以及A2- A0的輸入狀態(tài)。的輸入狀態(tài)。n讀寫控制信號(hào)讀寫控制信號(hào)。16550有兩對(duì)讀寫控制信號(hào),兩對(duì)信號(hào)功能有兩對(duì)讀寫控制信號(hào),兩對(duì)信號(hào)功能完全相同,只不過是信號(hào)電平不同而已。完全相同,只不過是信號(hào)電平不同而已。RD、WR為高電平有為高電平有效,效,!RD、 !WR為低電平有效。為低電平有效。第第9 9章章 串行通信接口串行通信接口 38n中斷控制和復(fù)位信號(hào)。中斷控制和復(fù)位信號(hào)。16550具有中斷控制和中斷優(yōu)具有中斷控制和中斷優(yōu)先級(jí)處理能力,先級(jí)處理能力,INTR引腳

36、產(chǎn)生高電平有效地中斷請(qǐng)引腳產(chǎn)生高電平有效地中斷請(qǐng)求信號(hào)。求信號(hào)。PC中,使用中,使用OUT2來控制中斷請(qǐng)求信號(hào)來控制中斷請(qǐng)求信號(hào)INTR的輸出,而的輸出,而OUT1沒有使用。沒有使用。MR是復(fù)位信號(hào),是復(fù)位信號(hào),通常與系統(tǒng)通常與系統(tǒng)RESET信號(hào)連接,在系統(tǒng)復(fù)位時(shí)對(duì)信號(hào)連接,在系統(tǒng)復(fù)位時(shí)對(duì)16550復(fù)位。復(fù)位。n時(shí)鐘信號(hào)。時(shí)鐘信號(hào)。XIN和和XOUT是是16550的主時(shí)鐘引腳,晶的主時(shí)鐘引腳,晶體振蕩器可連接在它們兩者之間,或者體振蕩器可連接在它們兩者之間,或者XIN與外部時(shí)與外部時(shí)鐘源連接。鐘源連接。BAUDOUT輸出是由發(fā)送器的波特率發(fā)生輸出是由發(fā)送器的波特率發(fā)生器產(chǎn)生的時(shí)鐘信號(hào),它常與器

37、產(chǎn)生的時(shí)鐘信號(hào),它常與RCLK輸入連接,產(chǎn)生與輸入連接,產(chǎn)生與發(fā)送器相等的接收器時(shí)鐘。發(fā)送器相等的接收器時(shí)鐘。第第9 9章章 串行通信接口串行通信接口 39n與通信設(shè)備連接的信號(hào)。請(qǐng)求發(fā)送與通信設(shè)備連接的信號(hào)。請(qǐng)求發(fā)送RTS、允許、允許發(fā)送發(fā)送CTS、數(shù)據(jù)終端準(zhǔn)備好、數(shù)據(jù)終端準(zhǔn)備好DTR、數(shù)據(jù)裝置準(zhǔn)、數(shù)據(jù)裝置準(zhǔn)備好備好DSR、振鈴、振鈴RI、載波檢測(cè)、載波檢測(cè)DCD、串行數(shù)、串行數(shù)據(jù)信號(hào)據(jù)信號(hào)RxD和和TxD。這些信號(hào)經(jīng)過。這些信號(hào)經(jīng)過EIA驅(qū)動(dòng)器驅(qū)動(dòng)器驅(qū)動(dòng)后與驅(qū)動(dòng)后與9腳腳D型連接器相連。型連接器相連。n數(shù)據(jù)就緒信號(hào)。數(shù)據(jù)就緒信號(hào)。RxRDY和和 TxRDY是接收器和是接收器和發(fā)送器的就緒信

38、號(hào),常用于發(fā)送器的就緒信號(hào),常用于DMA方式控制數(shù)據(jù)方式控制數(shù)據(jù)傳送。傳送。第第9 9章章 串行通信接口串行通信接口 40u16550內(nèi)部有內(nèi)部有12個(gè)個(gè)8位寄存器,但是只有位寄存器,但是只有8個(gè)個(gè)端口地址,用端口地址,用8個(gè)地址表示個(gè)地址表示12個(gè)寄存器,所采個(gè)寄存器,所采取的措施如下:取的措施如下:u發(fā)送保持寄存器發(fā)送保持寄存器THR 和和 接收數(shù)據(jù)寄存器接收數(shù)據(jù)寄存器RBR 共用共用一個(gè)地址,以讀寫信號(hào)來加以區(qū)分。一個(gè)地址,以讀寫信號(hào)來加以區(qū)分。u以讀方式訪問以讀方式訪問RBR, 以寫方式訪問以寫方式訪問THRu波特率除數(shù)寄存器的高字節(jié)波特率除數(shù)寄存器的高字節(jié)TLH與中斷允許寄存器與中斷

39、允許寄存器IER使用相同的地址使用相同的地址; 波特率除數(shù)寄存器的低字節(jié)波特率除數(shù)寄存器的低字節(jié)TLL與接收數(shù)據(jù)寄存器與接收數(shù)據(jù)寄存器RBR和發(fā)送保持寄存器和發(fā)送保持寄存器THR使用相同的地址,為了區(qū)分,借用線路控制寄存器使用相同的地址,為了區(qū)分,借用線路控制寄存器LCR的最高位的最高位DLAB位來區(qū)分位來區(qū)分 第第9 9章章 串行通信接口串行通信接口 4116550內(nèi)部寄存器地址及其選擇方法內(nèi)部寄存器地址及其選擇方法DLABA2 A1 A0被訪問的寄存器被訪問的寄存器0000接收數(shù)據(jù)寄存器接收數(shù)據(jù)寄存器RBR(讀)(讀);發(fā)送保持寄存器發(fā)送保持寄存器THR(寫)(寫)0001中斷允許寄存器中

40、斷允許寄存器IER1000波特率除數(shù)寄存器(低字節(jié))波特率除數(shù)寄存器(低字節(jié))1001波特率除數(shù)寄存器(高字節(jié))波特率除數(shù)寄存器(高字節(jié))X010中斷識(shí)別寄存器中斷識(shí)別寄存器IIR(只讀)(只讀);FIFO控制器控制器FCR(只寫)(只寫)X011線路控制寄存器線路控制寄存器LCRX100MODEM控制寄存器控制寄存器MCRX101線路狀態(tài)寄存器線路狀態(tài)寄存器LSRX110MODEM狀態(tài)寄存器狀態(tài)寄存器MSRX111暫存暫存第第9 9章章 串行通信接口串行通信接口 42表表 LCR格式格式D7D6D5D4D3D2D1D0DLABSBSPEPSPENSTBWLS1WLS0除數(shù)寄存器除數(shù)寄存器訪問

41、允許訪問允許中止中止設(shè)定設(shè)定附加奇偶附加奇偶標(biāo)志位選標(biāo)志位選擇擇奇偶奇偶校驗(yàn)校驗(yàn)選擇選擇奇偶奇偶校驗(yàn)校驗(yàn)允許允許停止位選擇停止位選擇字符長(zhǎng)度選擇字符長(zhǎng)度選擇1-允許允許0-禁止禁止1-中止中止0-正常正常1-附加附加1位位0-不附不附加加11-偶校驗(yàn)偶校驗(yàn)01-奇校驗(yàn)奇校驗(yàn)X0-無校驗(yàn)無校驗(yàn)0-1位停位停止位止位1-1.5或或2位停止位位停止位根據(jù)根據(jù)D1 D0區(qū)分區(qū)分00-5位位01-6位位10-7位位11-8位位1,線路控制寄存器,線路控制寄存器(LCR:Line Control Register)用于指定異步串行通信的數(shù)據(jù)格式用于指定異步串行通信的數(shù)據(jù)格式 第第9 9章章 串行通信接口串

42、行通信接口 432,線路狀態(tài)寄存器(,線路狀態(tài)寄存器(LSR:Line Status Register):只讀存儲(chǔ)器,用來向):只讀存儲(chǔ)器,用來向CPU提提供數(shù)據(jù)接收和發(fā)送時(shí)的狀態(tài)。這些狀態(tài)可以被供數(shù)據(jù)接收和發(fā)送時(shí)的狀態(tài)。這些狀態(tài)可以被CPU查詢,也可以觸發(fā)中斷請(qǐng)求。查詢,也可以觸發(fā)中斷請(qǐng)求。LSR格式格式D7D6D5D4D3D2D1D0ERTEMTTHREBIFEPEOEDR錯(cuò)誤錯(cuò)誤標(biāo)志標(biāo)志發(fā)送器發(fā)送器空空發(fā)送保發(fā)送保持寄存持寄存器器中止識(shí)別指中止識(shí)別指示示接收格式錯(cuò)接收格式錯(cuò)接收奇接收奇偶錯(cuò)偶錯(cuò)越限出錯(cuò)越限出錯(cuò)接收數(shù)據(jù)準(zhǔn)接收數(shù)據(jù)準(zhǔn)備備0- 無錯(cuò)無錯(cuò)誤誤1-FIFO至少至少有一有一個(gè)錯(cuò)個(gè)錯(cuò)誤

43、誤0-發(fā)發(fā)送器不送器不空空1-發(fā)發(fā)送器空送器空0-等等待發(fā)送待發(fā)送器器1-發(fā)發(fā)送器就送器就緒緒0- 無中無中止?fàn)顟B(tài)止?fàn)顟B(tài)1-進(jìn)入中進(jìn)入中止?fàn)顟B(tài)止?fàn)顟B(tài)0-正常正常1-出錯(cuò)出錯(cuò)0-正正常常1-出出錯(cuò)錯(cuò)0-正常正常1-出錯(cuò)出錯(cuò)0-數(shù)據(jù)未數(shù)據(jù)未準(zhǔn)備好準(zhǔn)備好1-FIFO或或接收緩沖器接收緩沖器中有數(shù)據(jù)中有數(shù)據(jù)第第9 9章章 串行通信接口串行通信接口 443,波特率除數(shù)寄存器(,波特率除數(shù)寄存器(TLH與與TLL)u波特率除數(shù)寄存器分為高字節(jié)寄存器波特率除數(shù)寄存器分為高字節(jié)寄存器TLH和低字節(jié)寄存器和低字節(jié)寄存器TLLu該寄存器用于存放除數(shù)寄存器值,從而確定波特率。除數(shù)寄存器值不同,則波該寄存器用于存放除

44、數(shù)寄存器值,從而確定波特率。除數(shù)寄存器值不同,則波特率不同。(分頻系數(shù):在基準(zhǔn)時(shí)鐘頻率的基礎(chǔ)上所作的分頻。)特率不同。(分頻系數(shù):在基準(zhǔn)時(shí)鐘頻率的基礎(chǔ)上所作的分頻。)除數(shù)寄存器值除數(shù)寄存器值=基準(zhǔn)時(shí)鐘頻率基準(zhǔn)時(shí)鐘頻率(16波特率)波特率)注:注: 16為分頻系數(shù),即每為分頻系數(shù),即每16個(gè)基準(zhǔn)時(shí)鐘頻率才會(huì)發(fā)送一位二進(jìn)制數(shù)據(jù)個(gè)基準(zhǔn)時(shí)鐘頻率才會(huì)發(fā)送一位二進(jìn)制數(shù)據(jù)u在寫入除數(shù)之前,應(yīng)將線路控制寄存器的最高位在寫入除數(shù)之前,應(yīng)將線路控制寄存器的最高位DLAB置置1,只有這樣才可以,只有這樣才可以訪問到除數(shù)寄存器訪問到除數(shù)寄存器u由于除數(shù)是由于除數(shù)是16位的,所以應(yīng)分別向位的,所以應(yīng)分別向TLH和和TL

45、L兩個(gè)端口分別寫入除數(shù)值的高兩個(gè)端口分別寫入除數(shù)值的高8位和低位和低8位。位。u16550芯片輸入的基準(zhǔn)時(shí)鐘頻率為芯片輸入的基準(zhǔn)時(shí)鐘頻率為1. 8432MHz 第第9 9章章 串行通信接口串行通信接口 45常用波特率常數(shù)常用波特率常數(shù)速率(速率(b/s)波特率高波特率高8位位(寫入寫入TLH)波特率低波特率低8位位(寫入寫入TLL)240000H30H480000H18H960000H0CH1920000H06H3840000H03H5760000H02H11520000H01H第第9 9章章 串行通信接口串行通信接口 464,中斷允許寄存器(中斷允許寄存器(IER:Interrupt Ena

46、ble Register):):16550有有4級(jí)中斷,級(jí)中斷,按優(yōu)先級(jí)從高到低依次為:接收出錯(cuò)中斷、接收緩沖器滿中斷、發(fā)送保持按優(yōu)先級(jí)從高到低依次為:接收出錯(cuò)中斷、接收緩沖器滿中斷、發(fā)送保持寄存器空中斷和寄存器空中斷和MODEM輸入狀態(tài)改變中斷。這些中斷的允許或屏蔽由輸入狀態(tài)改變中斷。這些中斷的允許或屏蔽由IER來控制來控制IER格式格式D7D6D5D4D3D2D1D00000I0EI3EI1EI2E類型類型0中斷:中斷:MODEM狀態(tài)狀態(tài)改變改變1-允許允許0-屏蔽屏蔽類型類型3中斷:接中斷:接收出錯(cuò)收出錯(cuò)1-允許允許0-屏蔽屏蔽類型類型1中斷:發(fā)中斷:發(fā)送保持器空(送保持器空(數(shù)據(jù)發(fā)送完

47、畢數(shù)據(jù)發(fā)送完畢)1-允許允許0-屏蔽屏蔽類型類型2中斷:中斷:接收緩沖區(qū)滿接收緩沖區(qū)滿(數(shù)據(jù)接收完(數(shù)據(jù)接收完畢)畢)1-允許允許0-屏蔽屏蔽只要只要IER寄存器的相應(yīng)位寫寄存器的相應(yīng)位寫“1”就允許中斷請(qǐng)求,寫就允許中斷請(qǐng)求,寫“0”就禁止中就禁止中斷請(qǐng)求斷請(qǐng)求第第9 9章章 串行通信接口串行通信接口 475,中斷標(biāo)識(shí)寄存器(中斷標(biāo)識(shí)寄存器(IIR:Interrupt identifier Register):如果):如果16550工作于中斷工作于中斷方式,方式,IIR指出有無待處理的中斷發(fā)生及其類型,并封鎖比此類優(yōu)先級(jí)低的所有指出有無待處理的中斷發(fā)生及其類型,并封鎖比此類優(yōu)先級(jí)低的所有類型

48、中斷。類型中斷。IIR格式格式D7D6D5D4D3D2D1D0FIFO指示標(biāo)志指示標(biāo)志00超時(shí)中斷超時(shí)中斷中斷類型標(biāo)識(shí)中斷類型標(biāo)識(shí)未決中斷指未決中斷指示示00-無無FIFO01-允許允許FIFO,但不可用,但不可用11-允許允許FIFO0-不超時(shí)不超時(shí)1-超時(shí)超時(shí)11-接收出錯(cuò)接收出錯(cuò)10-接收緩沖器滿接收緩沖器滿01-發(fā)送保持器空發(fā)送保持器空00-MODEM狀態(tài)改狀態(tài)改變變0-中斷有中斷有待處理待處理1-無中斷無中斷為了具體識(shí)別究竟是哪種事件引起的中斷(即中斷源),為了具體識(shí)別究竟是哪種事件引起的中斷(即中斷源),UART內(nèi)部設(shè)置了中斷內(nèi)部設(shè)置了中斷識(shí)別寄存器識(shí)別寄存器IIR。它保存著在請(qǐng)求

49、中斷的中斷類型編碼。它保存著在請(qǐng)求中斷的中斷類型編碼。IIR是只讀寄存器,它的是只讀寄存器,它的內(nèi)容隨中斷源而改變。內(nèi)容隨中斷源而改變。第第9 9章章 串行通信接口串行通信接口 486,發(fā)送保持寄存器(發(fā)送保持寄存器(THR:Transmit Holding Register):該寄該寄存器寄存存器寄存CPU寫入的一字節(jié)數(shù)據(jù),在發(fā)送移位寄存器空閑時(shí),寫入的一字節(jié)數(shù)據(jù),在發(fā)送移位寄存器空閑時(shí),自動(dòng)轉(zhuǎn)存到發(fā)送移位寄存器。按照編程制定的幀格式,發(fā)送移自動(dòng)轉(zhuǎn)存到發(fā)送移位寄存器。按照編程制定的幀格式,發(fā)送移位寄存器為每一個(gè)數(shù)據(jù)添加起始位、校驗(yàn)位和停止位,轉(zhuǎn)換成位寄存器為每一個(gè)數(shù)據(jù)添加起始位、校驗(yàn)位和停止

50、位,轉(zhuǎn)換成串行數(shù)據(jù),在發(fā)送器時(shí)鐘的控制下,經(jīng)串行數(shù)據(jù),在發(fā)送器時(shí)鐘的控制下,經(jīng)SOUT端一位一位地發(fā)端一位一位地發(fā)送出去。送出去。7,接收緩沖寄存器(接收緩沖寄存器(RBR:Receive Buffering Register):在):在接收器時(shí)鐘的控制下,接收移位寄存器從接收器時(shí)鐘的控制下,接收移位寄存器從SIN端子接收的一幀端子接收的一幀數(shù)據(jù),去掉起始位、校驗(yàn)位和停止位,轉(zhuǎn)換成并行數(shù)據(jù)送接收數(shù)據(jù),去掉起始位、校驗(yàn)位和停止位,轉(zhuǎn)換成并行數(shù)據(jù)送接收緩沖寄存器等待緩沖寄存器等待cpu讀取。讀取。第第9 9章章 串行通信接口串行通信接口 4916550芯片數(shù)據(jù)傳輸過程芯片數(shù)據(jù)傳輸過程由于由于CPU

51、與接口之間按并行方式傳輸,接口與外設(shè)之間按串行與接口之間按并行方式傳輸,接口與外設(shè)之間按串行方式傳輸,因此,在串行接口中,必須要有方式傳輸,因此,在串行接口中,必須要有“接收移位寄存器接收移位寄存器”(串(串并)和并)和“發(fā)送移位寄存器發(fā)送移位寄存器”(并(并串)。串)。第第9 9章章 串行通信接口串行通信接口 508,MODEM控制寄存器(控制寄存器(MCR)??刂菩酒模?刂菩酒?個(gè)管腳的輸出和芯個(gè)管腳的輸出和芯片的環(huán)路檢測(cè)。片的環(huán)路檢測(cè)。MCR的格式如表的格式如表7所示。所示。MCR格式格式D7D6D5D4D3D2D1D0000環(huán)路檢環(huán)路檢測(cè)測(cè)輸出輸出2輸出輸出1請(qǐng)求發(fā)請(qǐng)求發(fā)送送數(shù)據(jù)

52、終端就數(shù)據(jù)終端就緒緒0-正正常收發(fā)常收發(fā)1-內(nèi)內(nèi)部自循部自循環(huán)環(huán)1-OUT2引腳有引腳有效效1-OUT1引腳有引腳有效效1-RTS信信號(hào)有效號(hào)有效1-DTR信信號(hào)有效號(hào)有效第第9 9章章 串行通信接口串行通信接口 519, MODEM狀態(tài)寄存器(狀態(tài)寄存器(MSR)。反映)。反映UART與通信設(shè)備與通信設(shè)備(如(如MODEM)之間聯(lián)絡(luò)信號(hào)的當(dāng)前狀態(tài)以及這些信號(hào)的)之間聯(lián)絡(luò)信號(hào)的當(dāng)前狀態(tài)以及這些信號(hào)的變化情況。變化情況。MSR格式格式D7D6D5D4D3D2D1D0RLSDRIDSRCTSRLSDRLSD TERIDSR CTS收到收到“接收線接收線載波檢載波檢測(cè)測(cè)”收到收到“振鈴指振鈴指示示”

53、收到收到“數(shù)傳機(jī)數(shù)傳機(jī)就緒就緒”收到收到“清除發(fā)清除發(fā)送送”RLSD位發(fā)生位發(fā)生改變改變收到收到“振鈴指振鈴指示后沿示后沿”DSR位位發(fā)生變發(fā)生變化化CTS位位發(fā)生變發(fā)生變化化第第9 9章章 串行通信接口串行通信接口 5210, FIFO控制寄存器(控制寄存器(FCR)。)。16550有一個(gè)有一個(gè)FIFO緩沖器緩沖器,用于緩沖正在發(fā)出或接收的數(shù)據(jù)。,用于緩沖正在發(fā)出或接收的數(shù)據(jù)。FCR格式格式位位意意 義義位位意意 義義0 0允許允許FIFOFIFO緩沖器工作緩沖器工作4 4保留保留1 1清除接收清除接收FIFOFIFO緩沖器緩沖器5 5不用不用2 2清除發(fā)送清除發(fā)送FIFOFIFO緩沖器緩沖

54、器6 6接收端中斷觸發(fā)器值(接收端中斷觸發(fā)器值(低位)低位)3 3DMADMA7 7接收端中斷觸發(fā)器值(接收端中斷觸發(fā)器值(高端)高端)第第9 9章章 串行通信接口串行通信接口 531655016550編程舉例編程舉例 1初始化串行通信口一一 查詢方式異步串行通信編程查詢方式異步串行通信編程 在查詢方式下異步通信編程一般有三個(gè)部分:初始化、在查詢方式下異步通信編程一般有三個(gè)部分:初始化、發(fā)送一個(gè)字符及接收一個(gè)字符。發(fā)送一個(gè)字符及接收一個(gè)字符。下面以串行口下面以串行口COM1(COM1(端口地址端口地址3F8H)3F8H)為例,分別說明這三個(gè)部為例,分別說明這三個(gè)部分的編程方法。分的編程方法。

55、初始化串行通信口的內(nèi)容是:確定數(shù)據(jù)傳輸幀格式(包括數(shù)據(jù)位初始化串行通信口的內(nèi)容是:確定數(shù)據(jù)傳輸幀格式(包括數(shù)據(jù)位長(zhǎng)度、停止位長(zhǎng)度及有無奇偶校驗(yàn)和校驗(yàn)的類型)、確定傳輸波特長(zhǎng)度、停止位長(zhǎng)度及有無奇偶校驗(yàn)和校驗(yàn)的類型)、確定傳輸波特率以及確定率以及確定INS8250INS8250操作方式。操作方式是指自發(fā)自收的循環(huán)反饋操作方式。操作方式是指自發(fā)自收的循環(huán)反饋方式(用于診斷)還是通常的兩點(diǎn)之間的通信方式,是程序查詢方方式(用于診斷)還是通常的兩點(diǎn)之間的通信方式,是程序查詢方式傳送還是中斷方式傳送。式傳送還是中斷方式傳送。第第9 9章章 串行通信接口串行通信接口 541初始化串行通信口初始化的一般方法

56、是按一定的步驟分別對(duì)線路控制寄初始化的一般方法是按一定的步驟分別對(duì)線路控制寄存器存器LCRLCR、波特率除數(shù)寄存器、波特率除數(shù)寄存器TLLTLL、TLH TLH 和和 MODEMMODEM控控制寄存器制寄存器MCRMCR等進(jìn)行參數(shù)寫入操作,其參數(shù)是在程序等進(jìn)行參數(shù)寫入操作,其參數(shù)是在程序中由指令分散設(shè)定的;中由指令分散設(shè)定的; 通過寫除數(shù)寄存器通過寫除數(shù)寄存器TLL與與TLH設(shè)置波特率設(shè)置波特率 通過寫線路控制寄存器通過寫線路控制寄存器LCRLCR設(shè)置通信數(shù)據(jù)格式設(shè)置通信數(shù)據(jù)格式 通過寫通過寫MODEM控制寄存器控制寄存器MCR設(shè)置設(shè)置MODEM控制控制字字1.1.通過寫中斷允許寄存器通過寫中

57、斷允許寄存器IERIER設(shè)置中斷允許控制字設(shè)置中斷允許控制字第第9 9章章 串行通信接口串行通信接口 551初始化串行通信口MOVDX,LCR;MOVAL,80HOUTDX, AL;MOVDX,DLL;MOVAL,0CH;OUTDX, ALMOVAL,00HINCDX;OUTDX, AL第第9 9章章 串行通信接口串行通信接口 56MOV AL, 00011011b ;MOV DX,LCR;OUTDX, ALMOVAL, 03H;MOVDX, MCR;OUTDX, ALMOVAL, 0;MOVDX, IER;OUTDX, AL571發(fā)送一個(gè)字符程序一一 查詢方式異步串行通信編程查詢方式異步串行

58、通信編程采用查詢方式下的字符發(fā)送編程,有兩種方法:第一種方法,近距采用查詢方式下的字符發(fā)送編程,有兩種方法:第一種方法,近距離傳送不考慮離傳送不考慮RS-232CRS-232C的的MODEMMODEM控制信號(hào),控制信號(hào),CPUCPU只要檢測(cè)到只要檢測(cè)到INS8250INS8250發(fā)發(fā)送保持寄存器為空送保持寄存器為空( (即線路狀態(tài)寄存器即線路狀態(tài)寄存器D5=1)D5=1),即可向發(fā)送保持寄存,即可向發(fā)送保持寄存器輸出一個(gè)字符;第二種方法,考慮到發(fā)送持續(xù)的通用性,即可能器輸出一個(gè)字符;第二種方法,考慮到發(fā)送持續(xù)的通用性,即可能用于遠(yuǎn)距離通信的要求,故應(yīng)按照用于遠(yuǎn)距離通信的要求,故應(yīng)按照RS-23

59、2CRS-232C配置配置MODEMMODEM時(shí)的控制規(guī)則時(shí)的控制規(guī)則去處理。在這種情況下,發(fā)送方首先要使去處理。在這種情況下,發(fā)送方首先要使RTSRTS和和DTRDTR有效,即在有效,即在MCRMCR中使中使RTS=DTR=1RTS=DTR=1。然后,檢測(cè)。然后,檢測(cè)MODEMMODEM狀態(tài)寄存器狀態(tài)寄存器MSRMSR,只有當(dāng),只有當(dāng)MSRMSR中的中的CTSCTS和和DSRDSR有效,有效,CPUCPU才可按第一種方法向才可按第一種方法向INS8250INS8250輸出一個(gè)字符。輸出一個(gè)字符。按第二種方法編寫發(fā)送程序的流程圖如圖按第二種方法編寫發(fā)送程序的流程圖如圖5-85-8所示。所示。第第9 9章章 串行通信接口串行通信接口 582發(fā)送一個(gè)字符程序自行思考與實(shí)現(xiàn):如果采取第一種方法發(fā)送一個(gè)字符,程序該如何編寫第第9 9章章 串行通信接口串行通信接口 593接收一個(gè)字符程序采用查詢方式接收一個(gè)字符的編程,也有兩種方法采用查詢方式接收一個(gè)字符的編程,也有兩種方法第一種方法是不考慮第一種方法是不考慮RS-232CRS-232C的的MODEMMODEM控制信號(hào),控制信號(hào),CPUCPU只要檢只要檢測(cè)到測(cè)到INS8250INS

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論