FPGA仿真流程_第1頁(yè)
FPGA仿真流程_第2頁(yè)
FPGA仿真流程_第3頁(yè)
FPGA仿真流程_第4頁(yè)
FPGA仿真流程_第5頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、QuartusII的設(shè)計(jì)流程圖IT由黑熱煤J的設(shè)計(jì)施程-QuartusII軟件的使用方法設(shè)計(jì)輸入1.建立工程任何一項(xiàng)設(shè)計(jì)都是一項(xiàng)工程(Project),都必須首先為此工程建立一個(gè)放置與此工程相關(guān)的所有設(shè)計(jì)文件的文件夾。此文件夾將被EDA軟件默認(rèn)為工作庫(kù)(WorkLibrary)。一般,不同的設(shè)計(jì)項(xiàng)目最好放在不同的文件夾中,而同一工程的所有文件都必須放在同一文件夾中。首先建立工作庫(kù)目錄,以便存儲(chǔ)工程項(xiàng)目設(shè)計(jì)文件。在D盤(pán)下新建文件夾并取名Mydesign。雙擊QuartusII軟件啟動(dòng)圖標(biāo),即可啟動(dòng)QuartusII軟件,啟動(dòng)界面如圖1-2所示。使用NewProjectWizard可以為工程指定工

2、作目錄、分配工程名稱(chēng)以及指定最高層設(shè)計(jì)實(shí)體的名稱(chēng),還可以指定要在工程中使用的設(shè)計(jì)文件、其他源文件、用戶(hù)庫(kù)和EDA工具,以及目標(biāo)器件系列和具體器件等。在此要利用“NewPrejectWizard'工具選項(xiàng)創(chuàng)建此設(shè)計(jì)工程,并設(shè)定此工程的一些相關(guān)的信息,如工程名、目標(biāo)器件、綜合器、仿真器等(1)打開(kāi)建立新工程管理窗。選擇菜單FilefNewPrejectWizard命令,即彈由工程設(shè)置”對(duì)話框(圖1-3),以此來(lái)建立新的工程。# Quartus IIFileEdit ¥i evf Proj ect issi grunents Fjoces5iiig 工qIs Tindow Help

3、圖1-3建立新工程在單擊圖1-3后,由現(xiàn)了設(shè)置工程的基本信息,如圖1-4所示。單擊此對(duì)話框最上一欄右側(cè)的“”按鈕,可以選擇工程存放在硬盤(pán)上的位置,此例中將工程放在D盤(pán)Mydesign文件夾下。這三行的第一行的d:Mydesign表示工程所在的工作庫(kù)文件夾;第二行的half_add表示此項(xiàng)工程的工程名,工程名可以取任何其他的名,也可直接用頂層文件的實(shí)體名作為工程名,在此就是按這種方式取的名;第三行是當(dāng)前工程頂層文件的實(shí)體名,這里即為half_add。NstProjectVizard:Directory,國(guó)ske.Top-LevelEirt£typWhatis曲已出dikingdirec

4、toryforthispioject?D二.M也叼工程所在的一非用文件夫What位tlienameoftkiiscroject?、國(guó)G面“.Whatis:thenameMhetap>-leveldesignentitykrthHproieet?Ihi$nsnre吟casesrt;itr&可ndmuaexactlymitcfitheentitynameinth號(hào)designfife.HH<add當(dāng)前工程頂層文件的實(shí)體名上轉(zhuǎn)E疝thgProjectSetting?.£f.ekM&KtFiidsh|取消圖1-4設(shè)置工程的基本信息“(2)將設(shè)計(jì)文件加入工程中。單擊

5、圖1-4中的Next按鈕,彈由對(duì)話框如圖1-5所示,在對(duì)話框中單擊Filename右側(cè)的“”按鈕,可以將與工程相關(guān)的所有VHDL文件(如果有的話)加入進(jìn)此工程,此工程文件加入的方法有兩種:第1種方法是單擊“Add”按鈕,從工程目錄中選由相關(guān)的VHDL文件;第2種方法是單擊AddAll按鈕,將設(shè)定的工程目錄中的所有VHDL文件加入到工程文件欄中。如果還沒(méi)有建立VHDL文件,就直接點(diǎn)擊“Next”即可。(3)選擇目標(biāo)芯片。單擊圖1-5中Next按鈕由現(xiàn)如圖1-6的對(duì)話框用來(lái)選擇目標(biāo)芯片。首先在Family欄選芯片系列,在此選FLEX10K系列,并選擇此系列的具體芯片EPF10K10LC84-4。在

6、“Targetdevice”選項(xiàng)下選擇“Autodeviceselectedbythefitter”選項(xiàng),系統(tǒng)會(huì)自動(dòng)給所設(shè)計(jì)的文件分配一個(gè)器件。如果選擇“SpecificdeviceselectedinAvailabledevices'list”選項(xiàng),用戶(hù)需指定目標(biāo)器件。在右側(cè)的“Filters”窗口“過(guò)濾”選擇;Package表示封裝;Pincout表示引腳數(shù),此例選擇84;Speedgrade表示速度等級(jí),此例選擇圖i-6耨件類(lèi)型選擇(4)在圖1-6中單擊“Next”按鈕后進(jìn)入第三方工具選擇對(duì)話框,如圖1-7所示。用戶(hù)可以選擇所用到的第三方工具,比如ModleSim、Synplif

7、y等。在本例中并沒(méi)有調(diào)用第三方工具,可以都不選.(5)在圖1-7單擊“Next”按鈕后進(jìn)入最后確認(rèn)的對(duì)話框,如圖1-8所示。建立的工程的名稱(chēng)、選擇的器件和選擇的第三方工具等信息,如果無(wú)誤的話就可以單擊“Finish'按鈕,彈由如圖1-9所示的窗口,在資源管理窗口可以看到新建的工程名稱(chēng)half_add。圖工程信息概要人當(dāng)工程建立好以后,我們就可以建立設(shè)計(jì)文件。下面我們以一個(gè)半加器的VHDL的設(shè)計(jì),來(lái)演示在QuartusII如何實(shí)現(xiàn)VHDL語(yǔ)言輸入。語(yǔ)言輸入(1)建立文件。在圖1-9中,單擊“File”菜單下的“New”命令或者使用快捷鍵Ctrl+N,在彈由“New”對(duì)話框如圖1-10所示

8、。在“DeviceDesignFiles”頁(yè)面下雙擊“VHDLFile”選項(xiàng)(或選中該項(xiàng)后單擊“OK”按鈕)后建立新文件,如圖1-11所示。圖1-1。建立VHDL文本文件圖1-11VHDL文本編輯窗口(2)輸入程序。在圖1-11中輸入半加器的VHDL程序,如圖1-12所示。規(guī),7half_add.vhdK|LIBRARYLEEE?"USEIEEE.STI>_LOGIC_1164.ALL;ENTITYHkLF_ADD工£一PCIV門(mén)/1NeIT;S;Co;OUTBITENDHkLF_ADD;ARCHITECTUREONEOFTiALF_ADDISBEGIN5<=A

9、XORB'Co<=AW&B;ETTDOMEj圖UN輸入代媽"(3)保存文件。在圖1-12中單擊保存文件按鈕,彈由對(duì)話框如圖1-13,將輸入的VHDL語(yǔ)言程序保存為文件,注意后綴名是.vhd,單擊“保存”按鈕即可保存文件,如圖1-13。1-B保存文伴+(4)編譯工程。在圖1-11中單擊水平工具條上的編譯按鈕,或選擇菜單Processing下的StartComplilation,開(kāi)始編譯,并伴隨著進(jìn)度不斷地變化,編譯完成后的窗口如圖1-14所示。如果編譯過(guò)程由現(xiàn)錯(cuò)誤,要將錯(cuò)誤改正,保存后再次編譯,直到編譯無(wú)錯(cuò)誤為止。到此在QuartusII軟件中使用VHDL語(yǔ)言輸入

10、完成,接下來(lái)是將保存好的VHDL語(yǔ)言程序進(jìn)行仿真,在軟件上驗(yàn)證VHDL語(yǔ)言描述的功能是否能夠達(dá)到預(yù)期目的。二、設(shè)計(jì)仿真設(shè)計(jì)仿真的目的就是在軟件環(huán)境下,驗(yàn)證電路的行為和思想是否一致。仿真分為功能仿真和時(shí)序仿真。功能仿真是在設(shè)計(jì)輸入之后,綜合和布局布線之前的仿真,不考慮電路的邏輯和門(mén)電路的時(shí)間延時(shí),著重考慮電路在理想環(huán)境下的行為和預(yù)期設(shè)計(jì)效果的一致性。時(shí)序仿真是在綜合、布局布線后,也即電路已經(jīng)映射到特定的工藝環(huán)境后,考慮器件延時(shí)的情況下對(duì)布局布線的網(wǎng)絡(luò)表文件進(jìn)行的一種仿真,其中器件延時(shí)信息通過(guò)反向標(biāo)注時(shí)序延時(shí)信息實(shí)現(xiàn)的。1.仿真文件的生成(1)建立矢量波形文件。在圖1-14中,單擊“File”菜單

11、下的“New”命令,在彈由的“New”對(duì)話框中選擇“OtherFiles”頁(yè)面,如圖1-15。選擇“VectorWaveformFile”后單擊“OK”按鈕,彈由如圖1-16所示的矢量波形編輯窗口。NewDevicedesignFile|SoftwereFilesOthetFilesAHDLIncludeFileBlockSyrmbcilFileChainDescriptionFileHeaadecimdl(Inlel-Foirnat)FileMemoryInitlizationFieSignalTap11FileTdScriptFileTFileVectorWaveformFlieIOKCa

12、ncel圖1-15建立矢量波形文件»QtJdrriihi19.JMq3ul0MKk.tr3,H4AIWcvrfarml.w!jrT k-1> ::。*皿U E ii1/ k。1/ <f,Q mitd考 GM二即試Jr"印 a rtVk£h il It毋前田 > X*Li®»txJip 'Lr* mp1.* .*1£ Tid«M l”<rMia.'il U-Td-WL >1* La<.1IMTCF«13S<1ib»'1P>#ca*wft

13、«ijE-gM0i,MWKm*wj國(guó)1,佰矢量渡能編輯胃口J(2)添加引腳或節(jié)點(diǎn)。在圖1-16中,左鍵雙擊“Name”下方空白處,彈由"InsertNodeorBus”對(duì)話框,如圖1-17所示。單擊對(duì)話框“NodeFinder”按鈕后,彈由“NodeFinder”對(duì)話框,如圖1-18所示圖1-17*InsertNode口rBos'對(duì)話框J困Fmc置對(duì)話酬在圖1-18中,在“Filter”后面的方框里選擇“Pin:all”,然后單擊“List”按鈕,在“NodeFound”欄中列由了設(shè)計(jì)中的所有的輸入/輸由引腳號(hào),如圖1-19所示。單擊圖1-19中的法按鈕,所有列由的

14、輸入/輸由引腳號(hào)被復(fù)制到右邊一側(cè)。也可以根據(jù)情況選擇部分引腳號(hào)復(fù)制到右邊,方法是在左邊選中想要的引腳號(hào),單擊上按鈕即可選擇好了引腳號(hào)后,單擊“OK”按鈕,返回“InsertNodeorBus”對(duì)話框,此時(shí),在“Name”和“Type”欄里由現(xiàn)了“MultipleItems”項(xiàng),如圖1-20。單擊“OK”按鈕,選中的輸入/輸由引腳號(hào)都添加到矢量波形編輯窗口中,如圖1-21所示,單擊OK”按鈕,進(jìn)入矢量波形編輯窗口,如圖1-22口noonsp_7!*I*5LKfenjEJEjtafetFqint目I一勺£中史*上1TrJw*Urw6GdJiUnsignedhCME鞏煲圮£>

15、;H-但NDHM.TdSUnn-n9wdlrUnEpiedlrUnwwdlOUrwtwrMdl0iJTn山圖141查找節(jié)點(diǎn)后的忖他uiEus'對(duì)話幅72,Il 扇 JUai7i eE!3c«11a9 330±1 Rl牝(MEHql«*rTtfmOH?.3M30各名C甘:H吐:1戲/3電ATF工®®II4addHir-W<i«r&rnn1IWWIVpRlr?KCtPddmjd£<iaQH«l_山M|®CommRtmhFtow:*zy,耐WhrrnlMRA獎(jiǎng)與QMiv匕0:

16、1;:?。?工辦:口?;口£口:口:口二31工0:,:0冷:剪::口芯口:口工。:口二41工:。:口"0?。F?S觸m3咨衿陋KS猛濃!帝夠??歆濟(jì)誨SSWRffiRflRffiR解略RW;管3一口比.147:1*4_/*Ll.yi_fK1*Eb£l_ik-Lv-l-rtNJ.!_<dNyMilE_b44S-l.Ml4>4hlVUUt#才由仁詈城iqjCCillflLlr'I.l,番4frB*整-£.中上AI*laitLais.1icp.*4Card用:(.,14|QdpxLIET:.(a<EjthtijeiufciO-ltb3

17、1Hli0-c-s.i=11hPii"Xi:工Fill.mjaliHlas-jjrtaftucGfti'LtiJL:sir>ra.3rM-niSAfixftXE<*i!rfei>*Aw*eACtegwjtftrflX7WetMoe修*, w甫L可?工MUR由1-22添加節(jié)點(diǎn)后的矢量波毯斜輯窗口,(3)編輯輸入信號(hào)并保存文件。在圖1-22中單擊“Name”下方的“A”,即選中該行的波形。在本例中將輸入信號(hào)“A”設(shè)置為時(shí)鐘信號(hào),單擊工具欄中的按鈕,彈由“Clock”對(duì)話框,此時(shí)可以修改信號(hào)的周期、相位和占空比。設(shè)置完后單擊“OK”按鈕,輸入信號(hào)“A”設(shè)置完畢。同

18、理設(shè)置其他輸入信號(hào)“B”,最后單擊保存文件按鈕,根據(jù)提示完成保存工作,如圖1-23所示。同時(shí),為了方便讀者熟悉其他波形編輯工具的使用,在圖1-24中標(biāo)注了其他波形編輯工具的功臺(tái)匕目匕。Ad/aWefa/quartm$d4i*K_>ddHalf_4da(Wawrwilwwf)英觀',*EU1*AV«1j30>.0.MBO3B1BCttIBl9AJ-rw»t«809a$<ortRSR»5«WWS»5W%W»»5»KS5W«S!WS»!W!K«iRWW5W

19、5!W«W5SS«?»?Si:«w,a.rrnu.maoGle(AGf.aM-<3(.eM欠31“NIQ,Nt4y4119M3gbier«*1««1«iw,f3】,J<*«,t>4Ga*MW<«H»*<«»“02tPdItl<Itt««flf»><A»«LyM0<«atrfwld«tfr«ta.0*«»>&#

20、187;<«Xr,8IIFWlI。0e««82«rrwK0£j14人3«0人CwWimr人,8/MJMEl-23編輯輸入信號(hào)在波形文件中添加注釋修改信號(hào)的波形值,把選定區(qū)域的彼形更改成原值的相反值放大,縮小健,選中后按左鍵放大,右鍵縮小全屏顯示被形文件在波形文件信號(hào)欄中查找信號(hào)名,可以快捷地找到持觀察信號(hào)將信號(hào)欄中的名稱(chēng)用另一個(gè)名稱(chēng)來(lái)代替為選定的信號(hào)賦予未初始化狀態(tài)為選定的信號(hào)賦予不定狀態(tài)為選定的信號(hào)賦予。值為選定的信號(hào)賦予1值為選定的信號(hào)賦予高阻狀態(tài)為選定的信號(hào)賦予弱信號(hào)為選定的信號(hào)賦予低電平為選定的信號(hào)賦予高電平為選定的信號(hào)

21、不進(jìn)行賦值為選定信號(hào)賦原值的反相值專(zhuān)門(mén)設(shè)置時(shí)鐘信號(hào)把選定的信號(hào)用一個(gè)時(shí)鐘信號(hào)或是周期性信號(hào)來(lái)代替為總線信號(hào)賦值為選定的信號(hào)隨機(jī)賦值i國(guó)L川波形編輯工具欄中各圖標(biāo)的功能,2.功能仿真功能仿真是忽略延時(shí)的仿真,是理想的仿真。接下來(lái)我們一起來(lái)進(jìn)行設(shè)計(jì)的功能仿真。首先在圖1-23中單擊“Assignments”菜單下的“Settings”命令,如圖1-25,單擊左側(cè)標(biāo)題欄中的“Simulator”選項(xiàng)后,在右側(cè)的“Simulationmode”的下拉菜單中選擇“Functional”選項(xiàng)即可(軟件默認(rèn)的是“Timing”選項(xiàng)),單擊“OK”按鈕后完成設(shè)置。HalfoddC«te9Qfy.Gf

22、rwJUteiLbaietICuitHfttrdO*1M1 LDphorr.'£MTw(§噓陵,CoMpiaiionP*«MtIg:+也岫邪悄HStj.豌意文*Fr婚$eti”TmwqArMetDLiAeuftAfitSipTAplFLo9cAnazer.阜3聲呼與別mmj1l5四里1*PoMwPtiyP;m«品也加SH*ngs,、聞2日£聞5蝴呻國(guó)1-“ 設(shè)置仿其類(lèi)型后需要生成功能仿真網(wǎng)絡(luò)表。單擊“Processing”菜單下的“GenerateFunctionalNetlist”命令后會(huì)自動(dòng)創(chuàng)建功能仿真網(wǎng)絡(luò)表,如圖1-26所示。完成

23、后會(huì)彈由相應(yīng)提示框,單擊“確定”即可。最后單擊按鈕進(jìn)行功能仿真,如圖1-27所示。從圖中可以看由仿真后的波形沒(méi)有延時(shí),半加器的兩個(gè)加數(shù)作為輸入信號(hào),得到了對(duì)應(yīng)的和與進(jìn)位。rSp* 包都ii>- 6 #:-Um-iBifirB B 141114ml*f*=gk « *-C4itlOFr *«purtX«t C0H5*aUr* &OMRRfHENi SmjMoIwWChM根號(hào)臂帝W;曲泌的將柳XX中加滸幫張烈的范收冶iCmiuJ. G4,H. m k. ch -f a-LJ!,H4l!tLLEli!LIit-k iii'ii_nRtie£

24、;! HiHutS ar AiL4ai£4vfwa”M&kL_ti ju曰1 1Lh« I nliiJi*. 2 HE* FlIh 21_山 ttfTL -rikhrE7fh EWaRhmfji f i4."Wngi 人7AwnMitmri MltQriytft圖1 比創(chuàng)基功能仿宜網(wǎng)緒表d X口 /,W-e叁> L»etL再出3 FfXs 學(xué) &rrwr -忡M Mm=tar>iMiyr&fi i* FlktlWCi-RWifei-iiMI lilii二1|MLi|t. 103金TS3Amb5i.«lriDV

25、J& ixii* Ij 工u* 川hl*也&_血乜3口;|R Plla _4 a R I單ud。甘皿IId4dd.oddI3I.Add¥*1國(guó)1-27功自的真山3.時(shí)序仿真QuartusII中默認(rèn)的仿真為時(shí)序仿真,在圖1-23中直接單擊仿真按鈕即可。如果做完功能仿真后進(jìn)行時(shí)序仿真,需要在“Assignments”“Settings”“Simulator”選項(xiàng)后,在右側(cè)的“Simulationmode”的下拉菜單中選擇“Timing'選項(xiàng)即可。仿真完成后的窗口如圖1-28所示。觀察波形,可以知道輸入輸出之間有一定的延時(shí)3 M /,iriA (Hr*1 人 fjK

26、 -FT 卜 Vfnng 人Qmt,J"« 心"* F t圖1-2*時(shí)序仿真,廠 Oi«ul 必 II“叫3葉31,ciM - H川 U -伊R*peri -,時(shí)9鵬得E同ba 6* m* &g* 學(xué)加書(shū)* 1WAB聞3 閣超m三、引腳鎖定引腳鎖定是為了對(duì)所設(shè)計(jì)的工程進(jìn)行硬件測(cè)試,將輸入/輸由信號(hào)鎖定在器件的某些引腳上。單擊“Assigments”菜單下的“Pins”命令,在彈由的對(duì)話框如圖1-29所示,在下方的列表中列由了本項(xiàng)目所有的輸入/輸由引腳名???&S凈電 n v而募地審&卡 OitiM li 4 alM M中STTui

27、RfrLII1 -山修廣域已. JL超Wmsi力 I E ri|tc<*因L”選擇要分配的引腳V在圖1-29中,雙擊與輸入端“A”對(duì)應(yīng)的“Location”選項(xiàng)后彈由引腳列表,從中選擇合適的引腳,則輸入“A”的引腳鎖定完畢。同理完成其他引腳的鎖定,如圖1-300四、編程下載編程下載是將本次設(shè)計(jì)所生成的文件通過(guò)計(jì)算機(jī)下載到實(shí)驗(yàn)箱里,來(lái)驗(yàn)證此次設(shè)計(jì)是否能夠達(dá)到預(yù)期目的。需要進(jìn)行以下幾個(gè)步驟:1 .編譯鎖定引腳后必須再次編譯,才能存儲(chǔ)這些引腳鎖定的信息,單擊編譯按鈕執(zhí)行編譯。2 .配置下載電纜在“Tools”菜單下選擇“Programmer”命令,或者直接單擊工具欄上的按鈕,彈由如圖1-31所

28、示的頁(yè)面。單擊“HardwareSetup”按鈕,彈由“HardwareSetup”對(duì)話框,如圖1-32所示。單擊“AddHardware”按鈕設(shè)置下載電纜,彈由如圖1-33所示的對(duì)話框。在“Hardwaretype”一欄中選擇“ByteBlasterMVorByteBlasterII”后單擊“OK”按鈕,下載電纜配置完成。設(shè)置成如圖1-34所示的選項(xiàng)后,單擊“Close”按鈕即可。一般情況下,如果下載電纜不更換,一次配置就可以長(zhǎng)期使用,不需要每次都設(shè)置。ElardvareSetupHardwareSettings|JIAGSettingsSelectaprogramminghardwares

29、etuptousewhenprogrammingdevices.Thisprogramminghardwaresetupappliesonlytothecurrentprogrammerwindow.Currentlyselectedhardware;|NoHardwareClose圖132設(shè)置褊程器對(duì)話框,mi-33選擇下載電纜jHardwareSetupHark ar 自5包t tin空Ssitings :MastefBIaster C0M1 Selectaprogranaminghanderesetuplousewhenprograrmraingdevices.Thisprogrammi

30、nghardwaresetupappliesonlyIdthecurrentpiogrammErwindow.Currentlyselededhardware:Availablehardwareitems:Hardware$er/er':Port-"W丫tvr-'IiAddHardware.1ByleBlaiteiMASterBladerLocalLocalLPT1C0M1RemoveHaFdvjare|Clowe圖1.34下載電皴選捏完成+3 .下載模式JTAG模式是軟件的默認(rèn)下載模式,相應(yīng)的下載文件為“.sof”格式。在“Mode”一欄中還可以選擇其他的下載模式,

31、例如,PassiveSerial、ActiveSerialProgramming和In-SocketProgramming。勾選圖1-31中下載文件右側(cè)的第一個(gè)小方框,也可以根據(jù)需要勾選其他的小方框。將下載電纜連接好后,單擊“Start”按鈕計(jì)算機(jī)就開(kāi)始下載編程文件,這樣在實(shí)驗(yàn)箱上就可以驗(yàn)證實(shí)驗(yàn)效果了。到這里,我們都演示了QuartusII軟件的全部使用過(guò)程,從建立工程,VHDL語(yǔ)言設(shè)計(jì)輸入,設(shè)計(jì)仿真到編程下載都演示了一遍,讀者跟著上面的操作,就能夠到達(dá)最后的程序下載,在EDA實(shí)驗(yàn)箱上看到最終的效果。五、原理圖輸入(1)建立文件。在圖1-9中,單擊“File”菜單下的“New”命令或者使用快捷

32、鍵Ctrl+N,在彈由“New”對(duì)話框如圖1-35所示。在“DeviceDesignFiles”頁(yè)面下雙擊“BlockDiagram/SchematicFile”選項(xiàng)(或選中該項(xiàng)后單擊“OK”按鈕)后建立新文件,如圖1-36所示。MewDeviceDesignFJes)小玲Fil&i|OtheiFries|'AHDLFile旦口,目型步MH哼旗'心七.EDIFFiDVailogHDLFileVHOLRIeDKCanceF圖1-節(jié)新建原理圖,的表幅塊文件十QuatIusII-dJaltera/<uarlus5Qltifllf©ddHalfadd-Block

33、l.bdf如豆*歲M日財(cái)tPtKesirfiaLoot野M出*圖 ElrcKl.bdt< I&!直必口信”0*iHalCadd二3注,噓5秒一文字編輯工具j摩加一個(gè)圖表楔塊.f畫(huà)垂直和水平的總統(tǒng)K選中此單修動(dòng)圖盤(pán)元件時(shí).腳位與連塔不斷開(kāi)選中后,單擊晴標(biāo)左健為放大.單擊的右撰為細(xì)小或找節(jié)點(diǎn)、急和元件等:_選重.移動(dòng),復(fù)制時(shí)#1,是最基本且常用的動(dòng)能添加工程中所需要的各腫原理的函數(shù)和將夸-國(guó)垂直和水平連綿同時(shí)可以定義節(jié)點(diǎn)每棟:,:!::用于模塊之間的連接和映射選中后*可以選擇曷部連線T-二工,:二:金屏姓示原理圖編輯器窗口即_卜一一分別糠形.圓形、直編口弧殘工具-圖1-弘圖形銅輯窗口1(2)在圖1-36中對(duì)常用的工具欄功能進(jìn)行了標(biāo)注,以幫助讀者更好地使用這些工具。在圖1-36的圖形編輯窗口的空白處雙擊鼠標(biāo)左鍵(或在編輯工具欄單擊工具條),彈由如圖1-37所示的選擇電路符號(hào)對(duì)話框,選中“primitives”一“l(fā)ogic”“xor”(或在“Name”輸入編輯框中輸入“xor”)后,單擊“OK”按鈕。此時(shí),光標(biāo)上與符號(hào)連在一起,可以移動(dòng)光標(biāo),將符號(hào)移動(dòng)到合適的位置,如圖1-38。同理,在圖中放置一個(gè)“and2”符號(hào),在“primitives”“pin”下選擇“input”和“output”兩中符號(hào),分別放兩個(gè),如圖

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論