可編程邏輯電路_第1頁
可編程邏輯電路_第2頁
可編程邏輯電路_第3頁
可編程邏輯電路_第4頁
可編程邏輯電路_第5頁
已閱讀5頁,還剩59頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、n“軟件固化軟件固化”, “以存代算以存代算”思想的體現(xiàn)思想的體現(xiàn)n用軟件設計硬件:硬件描述語言用軟件設計硬件:硬件描述語言(HDL)n硬件設計的進步硬件設計的進步:方便、靈活、可修改設計方便、靈活、可修改設計用戶可編程用戶可編程設計方便設計方便易于實現(xiàn)易于實現(xiàn)主要內容主要內容: 可編程邏輯器件及應用可編程邏輯器件及應用目錄目錄6-2 只讀存儲器只讀存儲器(ROM)6-3 隨即存儲器隨即存儲器(RAM)6-4 可編程邏輯器件該述可編程邏輯器件該述(PAD)6-5 通用陣列邏輯通用陣列邏輯GAL6-1 導論導論6-6 現(xiàn)場可編程門陣列現(xiàn)場可編程門陣列 FPGA最常用的可編程邏輯器件最常用的可編程

2、邏輯器件 可編程邏輯器件可編程邏輯器件PLD (Programmable Logic Device) 是一大類器件的總稱是一大類器件的總稱,包括包括:ROM (Read-Only Memory) 只讀存儲器只讀存儲器PLA (Programmable Logic Array)可編程邏輯陣列可編程邏輯陣列PAL (Programmable Array Logic)可編程陣列邏輯可編程陣列邏輯GAL (General Array Logic)通用陣列邏輯通用陣列邏輯還有還有FPGA,CPLD等等6-1 導論導論 6-2 只讀存儲器只讀存儲器(ROM)兩大類存儲器(兩大類存儲器(Memory)ROM

3、 (Read-Only Memory)一旦信息寫入,在機器上只讀一旦信息寫入,在機器上只讀RAM (Random-Access Memory)隨機存儲器,在運行狀態(tài)可讀可寫隨機存儲器,在運行狀態(tài)可讀可寫ROM功能功能存放固定信息存放固定信息程序,常數(shù),指令,程序,常數(shù),指令,.ROM的優(yōu)點的優(yōu)點信息非信息非“易失易失”(Nonvolatile)簡單,容量大簡單,容量大ROM分類分類ROMPROMMask PROMEPROMUV EPROME2PROMFlash E2PROMStandard E2PROMOTP PROM多次編程多次編程一次編程一次編程工廠編程工廠編程用戶編程用戶編程只讀存儲器只

4、讀存儲器ROM分類分類ROMROM 掩膜掩膜ROMROM內容只能讀出,不能改變內容只能讀出,不能改變. .半導體廠家用掩膜技術寫入程序半導體廠家用掩膜技術寫入程序成本低,成本低,適用于批量生產(chǎn)適用于批量生產(chǎn)不適用研究工作不適用研究工作 PROM PROM可編程可編程ROMROM內容只能讀出,不能改變內容只能讀出,不能改變. .用戶使用特殊方法進行編程,只用戶使用特殊方法進行編程,只能寫一次,一次編程不能修改。能寫一次,一次編程不能修改。適用于批量生產(chǎn)適用于批量生產(chǎn)不適用研究工作不適用研究工作EPROMEPROM光可擦除光可擦除PROMPROM固化程序用紫外線光照固化程序用紫外線光照5 5151

5、5分鐘擦除,分鐘擦除,擦除后可以重新固化新的程擦除后可以重新固化新的程序和數(shù)據(jù)。序和數(shù)據(jù)。用戶可以對芯片進行多次編程用戶可以對芯片進行多次編程和擦除。和擦除。適用于研究工作適用于研究工作不適用于批量生不適用于批量生產(chǎn)。產(chǎn)。E E2 2PROMPROM電可擦除電可擦除PROMPROM實現(xiàn)全片和字節(jié)擦寫改寫,實現(xiàn)全片和字節(jié)擦寫改寫,作為非易失性作為非易失性RAMRAM使用。使用。集成度和速度不及集成度和速度不及EPROMEPROM,價格高,價格高,擦寫在原系統(tǒng)中在線進行。擦寫在原系統(tǒng)中在線進行。Flash MemoryFlash Memory快速電擦寫存儲器快速電擦寫存儲器可以整體電擦除(時間可以

6、整體電擦除(時間1S1S)和按字節(jié)重新高速編程。和按字節(jié)重新高速編程。CMOS CMOS 低功耗;低功耗;編程快編程快(每個字節(jié)編程(每個字節(jié)編程100s100s 整個芯片整個芯片0. 5s0. 5s););擦寫次數(shù)多擦寫次數(shù)多(通??蛇_到(通??蛇_到1010萬)萬)與與E E2 2PROMPROM比較:容量大、價格比較:容量大、價格低、可靠性高等優(yōu)勢。低、可靠性高等優(yōu)勢。用于用于PCPC機內裝操機內裝操作系統(tǒng)和系統(tǒng)不作系統(tǒng)和系統(tǒng)不能丟失初始功能能丟失初始功能的專門領域。的專門領域。需要周期性地修需要周期性地修改被存儲的數(shù)據(jù)改被存儲的數(shù)據(jù)表的場合。表的場合。內存內存細分細分信息存取方式信息存取

7、方式特點特點用途用途ROM結構結構字線字線位線位線4字字4位位存儲體存儲體輸出緩沖器輸出緩沖器W0W1W2W3A0A1B3B2B1B0F3F2F1F0 地址地址譯碼器譯碼器地地址址ROM的工作原理的工作原理001100011100存儲矩陣是一個存儲矩陣是一個“或或”邏輯陣列邏輯陣列100WWD311WWD3202WWWD313WWD W3=A1A0m3m2W2=A1A0m1W1=A1A0m0W0=A1A0A0A1地地址址譯譯碼碼器器D3D2D1D0 簡化的簡化的 ROM存儲矩陣陣列圖存儲矩陣陣列圖存儲體或陣列可以畫為:存儲體或陣列可以畫為:W0W1W2W3B0 B1 B2 B3W0W1W2W3

8、B0+W0W2B0+地址譯碼:與陣列地址譯碼:與陣列A0A1W0 W1 W2 W3A0_A0A1_A1A0A1W0A0A0A1A1W0nROM字數(shù)很大時,譯碼系統(tǒng)很復雜。字數(shù)很大時,譯碼系統(tǒng)很復雜。n字數(shù)較大,采用多級譯碼字數(shù)較大,采用多級譯碼n字數(shù)很少,一級譯碼字數(shù)很少,一級譯碼PROM 與陣列固定、或陣列可編程與陣列固定、或陣列可編程W0 W1 W2 W3A0A1+Y0Y1Y2Y3固定連接固定連接可編程連接可編程連接不連接不連接8x4 ROMA0A1A2F0F1F2F3與陣列與陣列不可編程不可編程或陣列或陣列可編程可編程8個存儲單元,每個單元存儲個存儲單元,每個單元存儲4位二進制數(shù)碼。位二

9、進制數(shù)碼。512 x 8PROM芯片結構芯片結構存儲陣列存儲陣列8-1Mux64x64譯碼譯碼64A8A3.緩沖緩沖3A2A1A06位位3位位.F7 F6 . F0888CE0CE1CE2緩沖緩沖ROM的應用的應用1、位擴展、位擴展用用4片片32 8ROM擴展成擴展成32 32ROM?!纠俊纠?、字擴展、字擴展用用256片片(512x8) ROM芯片擴展成芯片擴展成 128Kx8 ROM512x8ROM512x8ROM512x8ROM512x8ROM.16片片16片片4-164-16 譯碼譯碼列選擇列選擇行選擇行選擇_CE0_CE1【例【例1】用用2片片(32x8) ROM芯片擴展成芯片擴

10、展成 128x4 ROM【例【例2】3、用存儲器實現(xiàn)組合邏輯函數(shù)、用存儲器實現(xiàn)組合邏輯函數(shù)【例【例1】 試用試用ROM設計一個八段字符顯示的譯碼器。設計一個八段字符顯示的譯碼器。 1 0 0 0 1 1 1 01 1 1 1 1 1 0 1 1 1 1 01 1 1 0 0 1 1 1 1 0 1 01 1 0 1 0 0 0 1 1 0 1 01 1 0 0 0 0 1 1 1 1 1 01 0 1 1 1 1 1 1 1 0 1 01 0 1 0 1 1 1 1 0 1 1 11 0 0 1 1 1 1 1 1 1 1 11 0 0 0 1 1 1 0 0 0 0 10 1 1 1 1 0

11、 1 1 1 1 1 1 0 1 1 0 1 0 1 1 0 1 1 10 1 0 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 0 0 1 10 0 1 1 1 1 0 1 1 0 1 10 0 1 0 0 1 1 0 0 0 0 10 0 0 1 1 1 1 1 1 1 0 10 0 0 0a b c d e f g hD C B A顯顯 示示輸輸 出出輸輸 入入電路圖電路圖EN【例【例2】 試用試用ROM產(chǎn)生如下的一組多輸出邏輯函數(shù)產(chǎn)生如下的一組多輸出邏輯函數(shù)Y1=DCB+DCBY2=DCBA+CBA+DCBAY3=DCBA+DCBAY4=DCBA+DCBA解:將原

12、式化為最小項之和的形式解:將原式化為最小項之和的形式Y1=DCBA+DCBA+DCBA+DCBA=m2+m3+m6+m7Y2=DCBA+DCBA+DCBA+DCBA=m6+m7+m10+m14Y3=DCBA+DCBA=m4+m14Y4=DCBA+DCBA=m2+m15點陣圖點陣圖Y1=DCBA+DCBA+DCBA+DCBA=m2+m3+m6+m7Y2=DCBA+DCBA+DCBA+DCBA=m6+m7+m10+m14Y3=DCBA+DCBA=m4+m14Y4=DCBA+DCBA=m2+m15DCBAm0m1m2m14m154、字符發(fā)生器、字符發(fā)生器字符:字符:0、1點陣組成點陣組成例如:字母例

13、如:字母E111111000010000111101000010000111117x5點陣點陣7x5 ROM計計數(shù)數(shù)器器CK譯譯碼碼器器RAM按著工作原理分為:6-3 隨機存儲器1. 靜態(tài)隨機存儲器SRAM2. 動態(tài)隨機存儲器DRAM一、靜態(tài)一、靜態(tài)RAM的結構和工作原理的結構和工作原理 地址譯碼器讀寫控制電路存儲矩陣A0A1A1n-數(shù)據(jù)輸入/輸出(I/O)片選( )CS讀/寫控制( )R/W1V2V3V4VDDV5V6V7V8V&ENEN&ENDiXjBjBD1Y 列線位線位線存儲單元G3G2G1/OI/WR 行線 (1)靜態(tài)存儲單元圖中VT1VT4構成RS觸發(fā)器,用以存儲二

14、進制信息。VT5、VT6為門控管,其狀態(tài)由行線(Xi)決定。 Xi=1時,VT5、VT6導通,Q和 的狀態(tài)送至位線(Bj、 )上。VT7、VT8是每列存儲單元的門控管,其狀態(tài)取決于列線Yj。Yj=1時,VT7、VT8導通,數(shù)據(jù)端D、和位線接通,并通過三態(tài)門G1G3進行讀(輸出)、寫(輸入)等操作。 jBQ二、RAM的存儲單元 1V2V3V4VDDV5V6V7V8V&ENEN&ENDiXjBjBD1Y 列線位線位線存儲單元G3G2G1/OI/WR 行線 由G1 G3三個三態(tài)緩沖器構成讀寫控制電路。 時,G2、G3截止,G1導通,數(shù)據(jù)D送至I/O線上,進行讀出; 時,G1截止,G2

15、、G3導通,I/O線上的數(shù)據(jù)送入存儲單元,進行寫入。1/ WR0/ WR 一個三MOS管動態(tài)單元,信息存儲在VT2管的柵極電容Cg上,用Cg上的電壓控制VT2的狀態(tài)。 讀字線控制VT2管, 寫字線控制VT1管。VT4管是同列若干存儲單元的寫入時的預充管 圖7-2-3 三管動態(tài)存儲單元 DDVDCCg3VT2VT1VT4VT預充脈沖讀字線讀位線寫字線寫位線 在進行讀操作時,首先使位 線上的電容CD預充到VDD,然后 選通讀字線為高電平,則VT3管 導通。如果Cg上的電壓超過了 VT2管的開啟電壓,VT2和VT3 均導通,CD將通過VT2和VT3放 電到低電平。如果Cg上沒有電荷VT2管截止,CD

16、沒有放電通路, 仍保持預充后的高電平??梢?, 在讀位線上獲得的電平和柵極 電容Cg上的電平是相反的。通 過讀出放大器可將讀位線上的 電平數(shù)據(jù)送至存儲器的輸出端。圖7-2-3 三管動態(tài)存儲單元 DDVDCCg3VT2VT1VT4VT預充脈沖讀字線讀位線寫字線寫位線 在進行寫操作時,控制寫字線為高電平,使VT1管導通。由存儲器輸入端送來的信號傳到寫位 線,通過VT1管控制Cg 上的電位,將信息存儲到Cg上。 因為Cg存在漏電,需要對Cg上的信息定時刷新??芍芷谛缘淖x出Cg上信息到讀位線上,經(jīng)過反相器,再對存儲單元進行寫操作,即可完成刷新。DDVDCCg3VT2VT1VT4VT預充脈沖讀字線讀位線寫

17、字線寫位線 該電路中的預充電作用很重要,可以防止存儲電容C1(或C2)上的電荷在數(shù)據(jù)讀出時有損失,以免輸出的高電平受破壞。DDVDCCg3VT2VT1VT4VT預充脈沖讀字線讀位線寫字線寫位線6-4 可編程邏輯器件概述可編程邏輯器件概述PROM的特點:的特點:地址地址字,一一對應,所需存儲容量大字,一一對應,所需存儲容量大信息表完全信息表完全nPLA針對針對ROM這一特點這一特點邏輯壓縮邏輯壓縮W0 W1 W2 W3A0A1+Y0Y1Y2Y3PROM與陣列固定、或陣列可編程與陣列固定、或陣列可編程W0 W1 W2 W3A0A1+Y0Y1Y2Y3PLA 與、或陣列均可編程與、或陣列均可編程集成化

18、的集成化的PLA16路輸入路輸入8路輸出路輸出I0I1I15“或或”矩陣矩陣“與與”矩陣矩陣VCC48 P項項可控求反異或門可控求反異或門【例】【例】存儲信息表存儲信息表輸輸 入入輸輸 出出I3 I2 I1 I0F7 F6 F5 F4 F3 F2 F1 F00 0 0 00 0 0 0 0 0 0 00 0 0 10 0 0 0 0 0 0 10 0 1 00 0 0 0 0 1 0 00 0 1 10 0 0 0 1 0 0 10 1 0 00 0 0 1 0 0 0 00 1 0 10 0 1 1 1 0 0 10 1 1 00 0 0 0 0 1 0 00 1 1 10 0 1 1 0

19、0 0 11 0 0 00 1 0 0 0 0 0 01 0 0 10 1 0 1 0 0 0 11 0 1 00 1 0 0 0 1 0 01 0 1 10 1 0 1 0 0 0 11 1 0 00 0 0 1 0 0 0 01 1 0 10 0 0 0 1 0 0 11 1 1 01 1 1 0 0 1 0 01 1 1 11 1 1 0 0 0 0 1用用16x8 ROM存儲存儲F0F1F2F3F4F5F6F7I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8P 15 ROM容量:與陣列容量:與陣列8

20、x16,或陣列,或陣列 16x8,總容量,總容量256。用用PLA存儲存儲將表達式邏輯壓縮將表達式邏輯壓縮(化簡化簡)輸輸 入入輸輸 出出I3 I2 I1 I0F7 F6 F5 F4 F3 F2 F1 F00 0 0 00 0 0 0 0 0 0 00 0 0 10 0 0 0 0 0 0 10 0 1 00 0 0 0 0 1 0 00 0 1 10 0 0 0 1 0 0 10 1 0 00 0 0 1 0 0 0 00 1 0 10 0 1 1 1 0 0 10 1 1 00 0 0 0 0 1 0 00 1 1 10 0 1 1 0 0 0 11 0 0 00 1 0 0 0 0 0

21、01 0 0 10 1 0 1 0 0 0 11 0 1 00 1 0 0 0 1 0 01 0 1 10 1 0 1 0 0 0 11 1 0 00 0 0 1 0 0 0 01 1 0 10 0 0 0 1 0 0 11 1 1 01 1 1 0 0 1 0 01 1 1 11 1 1 0 0 0 0 1F0=I0F1=0F2=I1I0F3=I2I1I0+I2I1I0F4=I2I1I0+I3I2I0+I3I2I0F5=I3I2I0+I3I2I1F6=I3I2+I3I2I1F7=I3I2I1=P0=P1=P2+P3=P4+P5+P6=P5+P7=P8+P7=P7點陣圖點陣圖P0=I0P1=I

22、1I0P2=I2I1I0P3=I2I1I0P4=I2I1I0P5=I3I2I0P6=I3I2I0P7=I3I2I1P8=I3I2F0=P0F2=P1F3=P2+P3F5=P5+P7F6=P8+P7F7=P7F1=0F4=P4+P5+P6PLA容量容量2N(輸入數(shù)輸入數(shù))M(輸出數(shù)輸出數(shù))P項數(shù)項數(shù)總點數(shù)總點數(shù):144I0I1I2I3I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8P0 P1 P2 P3 P4 P5 P6 P7 P8F0F1F2F3F4F5F6F7存入信息表的存入信息表的PLAP0=I0P1=I1I0P2=I2I1I0P3=I2I1I0P4=I2I1I0P5=

23、I3I2I0P6=I3I2I0P7=I3I2I1P8=I3I2F0=P0F2=P1F3=P2+P3F5=P5+P7F6=P8+P7F7=P7F1=0F4=P4+P5+P6F0F2F3F4F5F6F7F1I0I1I2I3P0 P1 P2 P3 P4 P5 P6 P7 P8VCCPLA的特點的特點與陣列可編與陣列可編 ROM的譯碼器是完全譯碼器。的譯碼器是完全譯碼器。N個輸入必然有個輸入必然有2N個字。個字。PLA的地址譯碼器是非完全譯碼器。用戶可的地址譯碼器是非完全譯碼器。用戶可編程形成編程形成P項項(不是最小項不是最小項) 。 非完全尋址。非完全尋址。 非對應關系。非對應關系。 ROM中,信息

24、表示原封不動地裝入存儲矩陣中。中,信息表示原封不動地裝入存儲矩陣中。PLA中,存入存儲矩陣中的內容是經(jīng)過化簡、壓縮中,存入存儲矩陣中的內容是經(jīng)過化簡、壓縮的,它和信息表不是一一對應的關系。的,它和信息表不是一一對應的關系。PLA應用舉例應用舉例【例【例1】 由由PLA和和D觸發(fā)器組成同時具有觸發(fā)器組成同時具有BCD和和Gray輸出的計數(shù)器輸出的計數(shù)器 CounterBCD碼碼Gray碼碼CPCPBCDGrayW XYZKLMNP000000000010001000012001000011300110011140100011115010111111601101111070111111008100

25、0110009100110000BCD碼控制函數(shù):碼控制函數(shù):DCBADACBACBCADBABAAQQQQQQDQQQQQQQDQQQQQDQD DCBA76543210PPPPPPPP+=+=+=DADBDCDDDADBDCDDD QD QD QD QQAQBQCQDP0 P1 P2 P3 P4 P5 P6 P7 不要忘記畫不要忘記畫CP!用用PLA和和D觸發(fā)器組成觸發(fā)器組成的同步十進制計數(shù)器的同步十進制計數(shù)器 DA=QADB=QAQB+QAQBQDDC=QAQC+QBQC+QAQBQCDD=QAQD+QAQBQCQD76543210PPPPPPPP+=+=+=DADBDCDD如何通過如何

26、通過PLA輸出,而不是從觸發(fā)器輸出?輸出,而不是從觸發(fā)器輸出?.DQAQBQCQDZYXW具有二具有二十進制和循環(huán)碼變換功能的十進制計數(shù)器十進制和循環(huán)碼變換功能的十進制計數(shù)器CPBCDGrayW X Y Z K L M N P00 0 0 0 0 0 0 0 010 0 0 1 0 0 0 0 120 0 1 0 0 0 0 1 130 0 1 1 0 0 1 1 140 1 0 0 0 1 1 1 150 1 0 1 1 1 1 1 160 1 1 0 1 1 1 1 070 1 1 1 1 1 1 0 081 0 0 0 1 1 0 0 091 0 0 1 1 0 0 0 0【例【例2】

27、由由PLA和和D觸發(fā)器實現(xiàn)觸發(fā)器實現(xiàn)4位可變模數(shù)計數(shù)器位可變模數(shù)計數(shù)器 二進制計數(shù)二進制計數(shù)器進位邏輯器進位邏輯判斷邏輯判斷邏輯T=1, 繼續(xù)計數(shù)繼續(xù)計數(shù); T=0,復位到復位到0000.DA= QA DB= QBQA+QBQA DC= QCQBQA+QCQB+QCQA DD= QDQCQBQA+QDQC+QDQB+QDQA()TT)TT 計數(shù)器計數(shù)器控制函數(shù)控制函數(shù): T=(QAA+QAA)+(QBB+QBB)+(QCC+QCC)+(QDD+QDD)4位可變模數(shù)計數(shù)器位可變模數(shù)計數(shù)器ROM PLA或陣列可編程或陣列可編程與、或陣列都可編程,與、或陣列都可編程, 靈活,節(jié)省碼點靈活,節(jié)省碼點P

28、LA PAL工藝:簡化工藝工藝:簡化工藝,降低成本降低成本(熔絲工藝熔絲工藝,一次編程一次編程)結構:輸入結構:輸入/輸出公用輸出公用vPAL是專用詞,是專用詞,MMI公司的產(chǎn)品公司的產(chǎn)品結構結構PLA 與、或陣列均可編程與、或陣列均可編程PAL 與陣列可編程、或陣列固定與陣列可編程、或陣列固定W0 W1 W2 W3A0A1+Y0Y1Y2Y3W0 W1 W2 W3+A0A1Y0Y1Y2Y3PAL畫圖的方式畫圖的方式:只留出可編程的與陣列只留出可編程的與陣列,固定的或陣列用與或門固定的或陣列用與或門互補輸出封互補輸出封鎖多余或項鎖多余或項+.I0I1O0D QCPI7P0P1.P7D= P0+P

29、1+P2+P7幾種幾種PLA的原理圖的原理圖帶有反饋的陣列型帶有反饋的陣列型PALI0I1I7(I/O)0(I/O)1(I/O)7幾種幾種PLA的原理圖的原理圖輸出三態(tài)門由輸出三態(tài)門由P來控制的反饋陣列型來控制的反饋陣列型PAL(局部局部)帶有反饋的寄存器型帶有反饋的寄存器型PAL(局部局部)異或型異或型PAL(局部局部)IIII/OQI/OQI/OECPCPE16R6型型PAL產(chǎn)產(chǎn)品品CLKI1I2I3I4I5I6I7I8Q7Q6Q5Q4Q3Q2OE(I/O)8(I/O)116個變量個變量,6個寄存器個寄存器 32列對應列對應16個變量個變量,每一行是每一行是一個一個P項項, 每個每個P項最

30、項最多多32個因個因子相與子相與,每每個輸出變個輸出變量量(FF的控的控制函數(shù)制函數(shù))有有8個個P項相或項相或.與陣列規(guī)與陣列規(guī)模模:64*32出廠時與陣出廠時與陣列每一點都列每一點都可編程可編程,此圖此圖交叉點都是交叉點都是可編程的可編程的!【例】【例】4位雙向移位寄存器裝入位雙向移位寄存器裝入PAL16R6雙向移位寄雙向移位寄存器功能表存器功能表DSSDSSQSSQSSDCSSQSSQSSQSSDBSSQSSQSSQSSDASSQSSDSSQSSDLR1010210310310310110210210210010110110110100100雙向移位寄雙向移位寄存器功能表存器功能表 實現(xiàn)雙

31、向移實現(xiàn)雙向移位功能的位功能的PALDSSDSSQSSQSSDCSSQSSQSSQSSDBSSQSSQSSQSSDASSQSSDSSQSSDLR10102103103103101102102102100101101101101001006-5 通用陣列邏輯通用陣列邏輯GALnPAL GALv基本邏輯結構與基本邏輯結構與PAL相同,或陣列不可編程。相同,或陣列不可編程。v電擦除工藝電擦除工藝,可以重復編程可以重復編程. 修改設計方便修改設計方便,可以可以 重復試驗。重復試驗。典型典型GAL器件器件GAL16V816個輸入變量個輸入變量,其其中右側中右側8個在個在OLMC控制下控制下,可可以配置為

32、輸入或輸以配置為輸入或輸出出. 8 8=64行為可行為可編程的與陣列編程的與陣列,對對應應64個個P項項.不可編程的或陣列不可編程的或陣列在在OLMC內部內部.4個數(shù)據(jù)選擇器個數(shù)據(jù)選擇器(MUX)是核心是核心,在在結構控制字的控結構控制字的控制下制下,選擇不同的選擇不同的輸入輸入,構成不同的構成不同的輸出結構輸出結構. 書上書上247頁頁4種不同類種不同類型的型的PAL都統(tǒng)一都統(tǒng)一到一個到一個GAL內部內部,因此叫因此叫“通用陣通用陣列邏輯列邏輯”. 6-6 現(xiàn)場可編程門陣列現(xiàn)場可編程門陣列FPGA 一、一、 FPGA的基本結構的基本結構 IOB布線區(qū)CLBIR6.6.2 FPGA的編程的編程

33、 庫使用語句實體說明進程1進程2結束構造體1.設計的輸入設計的輸入 使用使用MAX+PLUSII軟件支持的高級行為語言軟件支持的高級行為語言VHDLVHDL的語言結構的語言結構 2 2編程數(shù)據(jù)的裝載編程數(shù)據(jù)的裝載 首先應對管腳進行分配首先應對管腳進行分配 84 83 82 8178 77 76 7580 7973 72 71 706755 65 6469 687453525150494847464544436362616059585756555423222433 34 35 36 37 38 39 40412527292830 31 322642101198765432112131415161

34、718192021Top V iewEPF10K10LC84MSEL0MSEL1nSTATUSnCONFIGDCLKCONF_DONEnCEnCEODATA0TDITDOTCKTMSTRSTVCCVCCVCCVCCVCCVCCGNDGNDGNDGNDGNDCLK1CLK2INPUT1INPUT2INPUT3INPUT4I /O44I /O51I/O 52I/O 49I/O 50I/O45I /O48I/O2I/O3I/O4I/O5I/O6I/O7I/O8I/O1I/O9I/O10I/O11I/O12I/O13I /O14I/O 15I/O 16I/O 17I/O 18I/O 19I/O 20I/O 21I/O 22I/O 23I/O 24I/O 25I/O 26I/O27I/O28I/O29I/O30I/O31I/O32I/O33I/O34I/O35I/O36I/O37I/O38I/O39I/O40I/O41I/O42I/O43I/O46I/O47I /O 53例:例:用用VHDL語言描述同步計數(shù)器。語言描述同步計數(shù)器。 有一個同步有一個同步16進制計數(shù)器,功能表如下:進制計數(shù)器,功能表如下: 輸入端 輸出端clrenclkqdqcqbqa1000000不變不變不變不變01

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論