FSK電力線載波通信實(shí)驗(yàn)_第1頁(yè)
FSK電力線載波通信實(shí)驗(yàn)_第2頁(yè)
FSK電力線載波通信實(shí)驗(yàn)_第3頁(yè)
FSK電力線載波通信實(shí)驗(yàn)_第4頁(yè)
FSK電力線載波通信實(shí)驗(yàn)_第5頁(yè)
已閱讀5頁(yè),還剩26頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、實(shí)驗(yàn)六 FSK電力線載波通信實(shí)驗(yàn) v一、實(shí)驗(yàn)?zāi)康囊弧?shí)驗(yàn)?zāi)康?、了解單片機(jī)在通信中的應(yīng)用。2、了解大規(guī)模集成電路的電路組成及工作原理。3、理解FSK的工作原理。v 二、實(shí)驗(yàn)預(yù)習(xí)要求二、實(shí)驗(yàn)預(yù)習(xí)要求v1、復(fù)習(xí)通信系統(tǒng)原理中有關(guān)FSK的內(nèi)容。v2、認(rèn)真閱讀本實(shí)驗(yàn)內(nèi)容,熟悉實(shí)驗(yàn)步驟。v3、預(yù)習(xí)有關(guān)單片機(jī)的原理及應(yīng)用。 三、實(shí)驗(yàn)原理三、實(shí)驗(yàn)原理 v利用電力線路來(lái)傳輸通信信號(hào),不需額外布線,降低了布線及施工成本,因而在某些應(yīng)用上具有潛在的價(jià)值,如在家庭自動(dòng)化系統(tǒng)、遠(yuǎn)程抄表系統(tǒng)等應(yīng)用場(chǎng)合。v由于電力線上的干擾及噪聲相當(dāng)大,線路阻抗很不穩(wěn)定,信號(hào)傳輸損耗大,要利用電力線實(shí)現(xiàn)有效和可行的通信有相當(dāng)?shù)碾y度。為此

2、,國(guó)外某些廠商開(kāi)發(fā)了一些專用的電力線載波MODEM芯片,其采用的調(diào)制方式既有以FSK方式為主的窄帶調(diào)制方式,也有以擴(kuò)展頻譜技術(shù)為基礎(chǔ)的寬帶調(diào)制方式。ST7536就是意法半導(dǎo)體公司(SGSTHOMSON)開(kāi)發(fā)的一種以FSK方式工作的專用于電力線載波通信的MODEM芯片。v1、ST7536工作原理 v 芯片主要特點(diǎn):v半雙工同步FSK MODEM 600bps速率,二個(gè)可編程信道 1200bps速率;二個(gè)可編程信道v自動(dòng)調(diào)諧接收和發(fā)信濾波器v發(fā)信頻率同步于外接晶振v發(fā)信信號(hào)電平自動(dòng)控制v接收靈敏度: 2mVRMS(600bps) 3mVRMS(1200bps)v接收時(shí)鐘恢復(fù)電路vPOWERDOWN

3、(低功耗)模式12345678910111213141516171819202122232425262728RX/TXRESETTEST4TEST3RXDCLR/TRXDEMDGNDDVVDTEST1TEST2TXDXTAL2XTAL1CHSBRSAFCFDVSSIFODEMIAVSSAGNDAVDDRAIRXFOTXFIALCIATOST7536v管腳說(shuō)明:管腳名稱管腳號(hào)碼功 能 描 述Rx/Tx1接收或發(fā)送模式選擇輸入端RESET2邏輯復(fù)位和低功耗模式選擇端,低電平有效。TEST43測(cè)試輸入選擇端。高電平時(shí)選擇發(fā)信濾器的輸入為TxF1端TEST34測(cè)試輸入端,當(dāng)TEST1為高電平時(shí),選擇本

4、腳為時(shí)鐘恢復(fù)電路的輸入端RxD5同步接收數(shù)據(jù)輸出端CLR/T6接收或發(fā)送時(shí)鐘(由工作模式?jīng)Q定)RxDEM7解調(diào)數(shù)據(jù)輸出端DGND8數(shù)字地DVDD9數(shù)字正電源:5V5TEST110測(cè)試輸入選擇端,高電平時(shí)取消發(fā)送至接收模式的自動(dòng)切換功能,并使TEST3輸入有效。TEST211測(cè)試輸入選擇端,高電平時(shí)壓縮發(fā)送至接收模式的自動(dòng)切換時(shí)間。TxD12發(fā)送數(shù)據(jù)輸入XTAL213晶振輸出XTAL114晶振輸入CHS15信道選擇輸入BRS16波特率選擇輸入AFCF17可用于相連補(bǔ)償網(wǎng)絡(luò)的自動(dòng)頻率控制信號(hào)輸出DVSS18數(shù)字負(fù)電源:5V5IFO19中頻濾波輸出DEMI20FSK解調(diào)輸入AVSS21模擬負(fù)電源:5

5、V5AGND22模擬地AVDD23模擬正電源:5V5RAI24模擬信號(hào)接收輸入RxFo25接受濾波器輸出TxFI26發(fā)送濾波器輸入(TEST4為高電平時(shí)選擇)ALCI27自動(dòng)電平控制輸入ATO28模擬信號(hào)發(fā)送輸出v發(fā)送部分:v 置Rx/0時(shí)芯片為發(fā)送模式,當(dāng)Rx/保持低電平超過(guò)3ms時(shí),芯片自動(dòng)進(jìn)入發(fā)送工作模式。再次激活發(fā)送模式時(shí)需要Rx/回到高電平并至少保持3ms的時(shí)間,然后再置Rx/為0電平。v 在發(fā)送模式時(shí),發(fā)送數(shù)據(jù)(TxD)在決定波特率的時(shí)鐘信號(hào)CLR/T的上升沿被采樣(圖6-1)。采樣數(shù)據(jù)進(jìn)入FSK調(diào)制器,F(xiàn)SK調(diào)制器代表0、1數(shù)據(jù)的二個(gè)基本載頻由波特率選擇管腳(BRS)和信道選擇管

6、腳(CHS)共同決定,見(jiàn)表1CLR/TTXDDATA VALID圖6-1 發(fā)送數(shù)據(jù)輸入定時(shí)v表1: BRSCHSBaud Rate(Baud)TxFrequencies(KHz)TxD=1-TxD=00060081.75-82.350160067.2-67.810120071.4-72.611120085.95-87.15由于這些頻率同步于11.052MHz的晶振,頻率精度與晶振的頻率精度一樣。 為了限制頻譜和降低信號(hào)中的諧波成分,來(lái)自FSK調(diào)制器的已調(diào)信號(hào)再由開(kāi)關(guān)電容帶通濾波器(發(fā)送帶通濾波器,即Tx BAND-PASS)進(jìn)行濾波。123456789101112131415161718192

7、02122232425262728IFOXTAL2XTAL1RX/TXRESETBRSCHSTXDTXFIDEMITEST1 TEST2TEST3TEST4CLR/TRXDRXDEMALCIATOAFCFRAIRXFODGNDDVDDDVSSAVSSAGNDAVDDBAND-PASSRXS.C.FILTER20dBGAINBAND-PASSS.C.FILTERI.F.AFCREFERENCEVCLTAGETIME BASE ANDCONTROL LOGICALCTXBAND-PASSS.C. FILTERA.FSKMODULATORCORRELATORPOST-DEMOS.C. FILTERM

8、CLOCKRECOVERYTESTLOGICST7536UXMUXA.FILTERA.A.FILTERA.A.FILTERA.A.FILTERSMT.FILTERSMT.FILTER 圖6-2 ST7536 內(nèi)部方框圖v 發(fā)送通路的輸出級(jí)包括一自動(dòng)電平控制(ALC)系統(tǒng),它使得模擬發(fā)送輸出信號(hào)(ATO)的幅度與線路阻抗的變化無(wú)關(guān)。本ALC系統(tǒng)是帶有32個(gè)離散增益值的可變?cè)鲆婵刂葡到y(tǒng),由模擬反饋信號(hào)ALCI控制(見(jiàn)圖63)。VT1VT2Low GainCorrect GainHigh GainCorrect GainALC CLOCK34.7us104.2us圖6-3v接收部分:v 置Rx/=1

9、時(shí)芯片工作于接收模式。波特率和信道的選擇同樣也由表1決定。加于RAI與公共端0V間的接收信號(hào)經(jīng)開(kāi)關(guān)電容帶通濾波器(接收帶通濾波器,Rx band-pass)濾波,濾波器的中心頻率為接收信號(hào)的中心頻率,其帶寬約為6KHz。RAI的輸入電壓范圍為2mVRMS至2VRMS。v接收濾波器的輸出經(jīng)一增益為20dB的放大器放大,該級(jí)放大器還同時(shí)對(duì)大信號(hào)提供限幅作用。經(jīng)放大限幅后的信號(hào)送入混頻器進(jìn)行下變頻,混頻器的同步本振信號(hào)由FSK調(diào)制功能提供。最后,混頻器的輸出經(jīng)一中頻帶通濾波器(IF band-pass)濾波,以提高解調(diào)器前信號(hào)的信噪比。中頻帶通濾波器的中心頻率與BRS有關(guān),當(dāng)BRS0時(shí),其中心頻率為

10、2.7KHz,當(dāng)BRS=1時(shí),其中心頻率為5.4KHz。中頻帶通濾波器的輸出(IFO)通過(guò)一外接耦合電容(1F10%,10V)耦合到FSK解調(diào)器的輸入(DEMI),以消除接收通道的偏置電壓。v時(shí)鐘恢復(fù)電路從解調(diào)器輸出(RxDEM)提取接收時(shí)鐘(CLR/T),并在CLR/T的上升沿送出解調(diào)輸出同步數(shù)據(jù)。CLR/TTXDDATA VALID圖6-4v 附加的數(shù)字和模擬功能:v由復(fù)位輸入(RESET)來(lái)初始化芯片。當(dāng)RESET0時(shí),置芯片于低功耗模式并復(fù)位內(nèi)部邏輯。當(dāng)RESET 1時(shí),激活芯片。v 時(shí)基部分通過(guò)晶振(11.0592MHz)產(chǎn)生內(nèi)部所需的各種時(shí)鐘。晶振接于管腳XTAL1和XTAL2間,

11、并需要外接兩電容以保證晶振正常工作。電容值與晶振特性有關(guān),典型值為22pF10%。也可將時(shí)鐘信號(hào)直接加于管腳XTAL1上。v 自動(dòng)頻率控制(AFC)模塊調(diào)節(jié)接收和發(fā)送濾波器的中心頻率到載波工作頻率。AFC環(huán)路的穩(wěn)定性由連接于管腳AFCF上的C1(470nF10,10V)、C2(47nF10,10V)和R1(1.5K)構(gòu)成的補(bǔ)償網(wǎng)絡(luò)來(lái)保證。 1722C1C2R1AFCFAGND圖6-5 自動(dòng)頻率環(huán)路濾波器 v測(cè)試特性:v附加的放大器允許在管腳RxFO上觀察接收帶通濾波器的輸出。v當(dāng) TEST41 時(shí),腳RxFO直接輸入發(fā)送帶通濾波器被選擇和允許。v當(dāng) TEST21 時(shí),發(fā)送到接收的自動(dòng)轉(zhuǎn)換延時(shí)由

12、3秒縮至1.48ms。v當(dāng)TEST11時(shí),發(fā)送到接收的自動(dòng)轉(zhuǎn)換模式無(wú)效,電路的功能模式 由Rx/控制,方式如下:當(dāng)Rx/0時(shí),電路連續(xù)發(fā)送,當(dāng)Rx/1時(shí),為便于測(cè)試時(shí)鐘恢復(fù)模塊與FSK解調(diào)模塊的連接斷開(kāi),此時(shí)TEST3為時(shí)鐘恢復(fù)模塊的輸入端,RXDEM跟隨TEST3,RxD送出重新同步的數(shù)據(jù)。v2、實(shí)驗(yàn)電路原理說(shuō)明v 電力線載波通信實(shí)驗(yàn)系統(tǒng)的構(gòu)成原理框圖如下圖所示,它由ST7536、微控制器(MCU)和電力線接口(PLI)等組成。詳細(xì)原理圖見(jiàn)圖6-6。ST7536 PL1ControllerPLIST7536ControllerData-transmission over POWERLINE

13、圖6-6 電力線載波通信系統(tǒng)構(gòu)成框圖微控制器(MCU)U4采用了美國(guó)國(guó)家半導(dǎo)體公司COP系列單片機(jī)COP87L84EGN,它主要功能是完成對(duì)ST7536收發(fā)狀態(tài)的控制、發(fā)送數(shù)據(jù)的產(chǎn)生、接收數(shù)據(jù)的處理及電力線接口(PLI)中功放電源的開(kāi)啟及關(guān)閉等功能。另外,微控制器通過(guò)RS232C接口芯片U5(MAX232)可實(shí)現(xiàn)與個(gè)人電腦(PC)的連接,即通過(guò)本實(shí)驗(yàn)裝置可實(shí)現(xiàn)PC之間的電力線載波通信(由條件所限,本實(shí)驗(yàn)暫不開(kāi)通此項(xiàng)功能)。v 在實(shí)驗(yàn)裝置中,微控制器的工作狀態(tài)由撥動(dòng)開(kāi)關(guān)SW1控制,如表2:v表2:SW1-1SW1-2SW1-3SW1-4MCU工作狀態(tài)offXXX接收狀態(tài)(控制ST7536工作于收

14、態(tài))onoffoffX控制ST7536發(fā)固定“0”碼onoffonX控制ST7536發(fā)固定“1”碼ononoffX控制ST7536發(fā)“0”、“1”交替碼onononX控制ST7536發(fā)偽隨機(jī)碼v ST7536的波特率和信道選擇由撥動(dòng)開(kāi)關(guān)SW2控制,如表3:v表3:SW2-1(CHS)SW2-2(BRS)波特率 發(fā)送頻率(KHz)TxD=1-TxD=0offoff60081.75-82.35onoff60067.2-67.8offon120071.4-72.6onon120085.95-87.15v在發(fā)送模式,接口放大和濾波來(lái)自ST7536的發(fā)送信號(hào)(ATO)。ATO能提供的最大輸出電流僅為1m

15、A,因而接口中用一緩沖器(BUFFER)來(lái)保護(hù)ST7536并驅(qū)動(dòng)下級(jí)電路。來(lái)自ST7536的發(fā)送信號(hào)中的二次諧波為53dB,為了進(jìn)一步抑制諧波,接口中用了一低通濾波器(LPF)。經(jīng)濾波后的信號(hào)送入功放,通過(guò)一耦合變壓器,功放能驅(qū)動(dòng)1100的阻抗。耦合變壓器不僅用于將信號(hào)送上電力線,它也作為工作于諧振選頻方式下的帶通濾波器,以抑制諧波至72dB以下。v電力線接口(PLI)連接ST7536到電力線上,框圖如圖6-7:v緩沖器(BUFFER)、低通濾波器(LPF)功放的構(gòu)成框圖如圖6-8:bufferpower amplifierLPFPreamplifierBPFRAIATORX/TXtransf

16、ormerpowerline圖6-7 電力線接口(PLI)框圖+-PUSHPULLAMPLIFIERR9R10R11C16C18U2圖6-8 緩沖器(BUFFER)、低通濾波器(LPF)功放構(gòu)成框圖 v 上圖中,推挽功放(PUSHPHLL AMPLIFER)由Q5、Q6、Q7、Q8等組成,利用反饋網(wǎng)絡(luò)(R11、C16)的頻率特性形成通路的低通濾波特性,詳見(jiàn)電原理圖,另外,PLI中發(fā)送通路(緩沖器、低通濾波器、功放)的工作電源是通過(guò)由Q1、Q2、Q3、Q4組成的電子開(kāi)關(guān)提供的,開(kāi)關(guān)的開(kāi)啟和關(guān)閉由單片機(jī)控制。v 在接收模式,耦合變壓器從電力線上耦合進(jìn)信號(hào)。在將信號(hào)送到ST7536的接收端(RAI)

17、之前,經(jīng)預(yù)放放大和帶通濾波器濾波以提高接收靈敏度信噪比。預(yù)放由U3:A等元件組成,帶通濾波器由U3:B、R22、R23、R25、C25、C26組成,濾波器的輸出經(jīng)R18、Z3、Z4組成的限幅器送入ST7536的接收端(RAI)。v 在接收模式,緩沖器和功放被關(guān)閉,以免功放的低阻抗對(duì)接收信號(hào)造成衰減。 三、實(shí)驗(yàn)儀器三、實(shí)驗(yàn)儀器 v雙蹤同步示波器 40MHz 1臺(tái)v直流穩(wěn)壓電源 +5V -5V 1臺(tái)vFSK電力線載波通信實(shí)驗(yàn)箱 1臺(tái)v數(shù)字頻率計(jì) 測(cè)量頻率范圍 50Hz10MHz 1臺(tái)v萬(wàn)用表 1臺(tái) 四、實(shí)驗(yàn)內(nèi)容四、實(shí)驗(yàn)內(nèi)容 v特別提醒:特別提醒:v實(shí)驗(yàn)分調(diào)制及發(fā)送部分測(cè)試和接收及解調(diào)部分測(cè)試。在做

18、調(diào)制及發(fā)送部分測(cè)試實(shí)驗(yàn)時(shí),勿將P2插頭插上電力線,以確保實(shí)驗(yàn)人員的安全。在做接收及解調(diào)實(shí)驗(yàn)時(shí),需將P2插頭插上電力線以接收來(lái)自電力線上的信號(hào),此時(shí)耦合變壓器TR1的初級(jí)C19、R16上帶有交流220V電壓,切勿接觸!v在連接電源和實(shí)驗(yàn)箱之前,一定要先確認(rèn)二組電源的電壓極性和電壓值正確,在確認(rèn)完全無(wú)誤之前不允許將實(shí)驗(yàn)箱和電源連接,另外在連接實(shí)驗(yàn)箱和電源時(shí)請(qǐng)務(wù)必關(guān)斷電源開(kāi)關(guān)。v (一)裝置的發(fā)送功能測(cè)試v將P2與電力線斷開(kāi),接上5的負(fù)載(不接也可以)。檢查穩(wěn)壓電源與實(shí)驗(yàn)裝置連接是否正確,開(kāi)啟穩(wěn)壓電源。v 1、發(fā)送數(shù)據(jù)位同步時(shí)鐘及波特率切換功能測(cè)試v 將撥動(dòng)開(kāi)關(guān)SW1置如下?tīng)顟B(tài):SW11為on, S

19、W12為on,SW13為off,即使MCU控制ST7536處于發(fā)送0、1交替碼狀態(tài)。v 置撥動(dòng)開(kāi)關(guān)SW2的SW22為off(SW21不管),用示波器觀測(cè)測(cè)試點(diǎn)TP1的波形(ST7536產(chǎn)生并提供的數(shù)據(jù)位同步信號(hào)),并用頻率計(jì)測(cè)定該信號(hào)的頻率,用示波器觀測(cè)測(cè)試點(diǎn)TP2的波形(MCU提供的發(fā)送數(shù)據(jù))并用頻率計(jì)測(cè)定該信號(hào)的頻率。記錄信號(hào)的波形及頻率值,并注意兩信號(hào)的相位關(guān)系及頻率關(guān)系。v置撥動(dòng)開(kāi)關(guān)SW2的SW22為on,用示波器和頻率計(jì)作與以上相同的測(cè)試和記錄,并注意測(cè)試結(jié)果的變化。v 2、發(fā)送通路基本參數(shù)的測(cè)試v置撥動(dòng)開(kāi)關(guān)SW2的SW21為off,SW22為off,即ST7536工作在1信道。v置

20、撥動(dòng)開(kāi)關(guān)SW1的SW11為on,SW12為off,SW13為off,即MCU使ST7536工作在發(fā)固定“0”碼(此時(shí)TP2點(diǎn)的信號(hào)為固定低電平),用示波器觀測(cè)TP4點(diǎn)信號(hào)(發(fā)送信號(hào))的波形并對(duì)信號(hào)的幅度作出記錄,用頻率計(jì)測(cè)出信號(hào)的頻率。v 置撥動(dòng)開(kāi)關(guān)SW1的SW11為on,SW12為off,SW13為on,即MCU使ST7536工作在發(fā)固定“1”碼(此時(shí)TP2點(diǎn)的信號(hào)為固定高電平),用示波器觀測(cè)TP4點(diǎn)信號(hào)(發(fā)送信號(hào))的波形并對(duì)信號(hào)的幅度作出記錄,用頻率計(jì)測(cè)出信號(hào)的頻率。v 同理,對(duì)其余3個(gè)信道的發(fā)信號(hào)信號(hào)參數(shù)進(jìn)行測(cè)量并作出記錄,并將結(jié)果填入下表。信道號(hào)SW2開(kāi)關(guān)位置SW22 SW21發(fā)“0”信號(hào)參數(shù)頻率(KHz)峰值(V)發(fā)“1”信號(hào)參數(shù)頻率(KHz)峰值(V)1off off2off on3 on off4 on onv(二)裝置的接收功能測(cè)試v 做本實(shí)驗(yàn)時(shí),由實(shí)驗(yàn)指導(dǎo)教師控制某一實(shí)驗(yàn)裝置處于發(fā)送交替“0”、“1”碼或偽隨機(jī)碼,學(xué)生用實(shí)驗(yàn)裝置處于接收狀態(tài)。v調(diào)整撥動(dòng)開(kāi)關(guān)SW1的SW11為off,使MCU控制ST7536處于接收狀態(tài),調(diào)整撥動(dòng)開(kāi)關(guān)SW2的SW2-1、SW22為on,使ST7526工作于4信道。撥掉連在P2插口上的5負(fù)載,通過(guò)連線將P2接在交流220V電源上。實(shí)驗(yàn)中不要接觸帶有交流實(shí)驗(yàn)中不要接觸帶有交流220V器件器

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論