Verilog有限狀態(tài)機(jī)設(shè)計(jì)_第1頁(yè)
Verilog有限狀態(tài)機(jī)設(shè)計(jì)_第2頁(yè)
Verilog有限狀態(tài)機(jī)設(shè)計(jì)_第3頁(yè)
Verilog有限狀態(tài)機(jī)設(shè)計(jì)_第4頁(yè)
Verilog有限狀態(tài)機(jī)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩73頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 第第8章章 Verilog有限狀態(tài)機(jī)設(shè)計(jì)有限狀態(tài)機(jī)設(shè)計(jì) 8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.1 為什么要使用狀態(tài)機(jī)為什么要使用狀態(tài)機(jī)(1)高效的順序控制模型。)高效的順序控制模型。 (2)容易利用現(xiàn)成的)容易利用現(xiàn)成的EDA優(yōu)化工具。優(yōu)化工具。 (3)性能穩(wěn)定。)性能穩(wěn)定。 (4)設(shè)計(jì)實(shí)現(xiàn)效率高。)設(shè)計(jì)實(shí)現(xiàn)效率高。 (5)高速性能。)高速性能。 (6)高可靠性能。)高可靠性能。 8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.2 一般有限狀態(tài)機(jī)的結(jié)構(gòu)一般有限狀態(tài)機(jī)的結(jié)構(gòu) 1. 說(shuō)明部分說(shuō)明部分 2. 主控時(shí)序過(guò)程主控時(shí)序過(guò)程8.1

2、Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.2 一般有限狀態(tài)機(jī)的結(jié)構(gòu)一般有限狀態(tài)機(jī)的結(jié)構(gòu) 3. 主控組合進(jìn)程主控組合進(jìn)程8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.2 一般有限狀態(tài)機(jī)的結(jié)構(gòu)一般有限狀態(tài)機(jī)的結(jié)構(gòu) 4. 輔助進(jìn)程輔助進(jìn)程接下頁(yè)接下頁(yè)8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.2 一般有限狀態(tài)機(jī)的結(jié)構(gòu)一般有限狀態(tài)機(jī)的結(jié)構(gòu) 4. 輔助進(jìn)程輔助進(jìn)程接上頁(yè)接上頁(yè)8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.2 一般有限狀態(tài)機(jī)的結(jié)構(gòu)一般有限狀態(tài)機(jī)的結(jié)構(gòu) 4. 輔助進(jìn)程輔助進(jìn)程8.1 Veri

3、log HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.3 狀態(tài)機(jī)設(shè)計(jì)初始控制與表述狀態(tài)機(jī)設(shè)計(jì)初始控制與表述 (1)打開(kāi))打開(kāi)“狀態(tài)機(jī)萃取狀態(tài)機(jī)萃取”開(kāi)關(guān)。開(kāi)關(guān)。 8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.3 狀態(tài)機(jī)設(shè)計(jì)初始控制與表述狀態(tài)機(jī)設(shè)計(jì)初始控制與表述 (1)打開(kāi))打開(kāi)“狀態(tài)機(jī)萃取狀態(tài)機(jī)萃取”開(kāi)關(guān)。開(kāi)關(guān)。 8.1 Verilog HDL狀態(tài)機(jī)的一般形式狀態(tài)機(jī)的一般形式 8.1.3 狀態(tài)機(jī)設(shè)計(jì)初始控制與表述狀態(tài)機(jī)設(shè)計(jì)初始控制與表述 (2)關(guān)于參數(shù)定義表述)關(guān)于參數(shù)定義表述 (3)狀態(tài)變量定義表述)狀態(tài)變量定義表述 8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)

4、機(jī)的設(shè)計(jì) 8.2.1 ADC采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī)采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī) 8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.1 ADC采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī)采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī) 8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.1 ADC采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī)采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī) 8.2.1 ADC采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī)采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī) 接下頁(yè)接下頁(yè)接上頁(yè)接上頁(yè)8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.1 ADC采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī)采樣控制設(shè)計(jì)

5、及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī) 8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.1 ADC采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī)采樣控制設(shè)計(jì)及多過(guò)程結(jié)構(gòu)型狀態(tài)機(jī) 8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 接下頁(yè)接下頁(yè)8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 接上頁(yè)接上頁(yè)8.2 Moore型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列

6、檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 接下頁(yè)接下頁(yè)8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 接上頁(yè)接上頁(yè)8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 接下頁(yè)接下頁(yè)8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 接上頁(yè)接上頁(yè)8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì)

7、 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 8.3 Mealy型有限狀態(tài)機(jī)的設(shè)計(jì)型有限狀態(tài)機(jī)的設(shè)計(jì) 8.2.2 序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì)序列檢測(cè)器之狀態(tài)機(jī)設(shè)計(jì) 8.4 SystemVerilog的枚舉類型應(yīng)用的枚舉類型應(yīng)用 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)

8、方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 接下頁(yè)接下頁(yè)8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 接上頁(yè)接上頁(yè)8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 接下頁(yè)接下頁(yè)8.5 狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法狀態(tài)機(jī)圖形編輯設(shè)計(jì)方法 接上頁(yè)接上頁(yè)8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.1 直接輸出型編碼直接輸出型編碼 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.1 直接輸出型編碼直接輸出型編碼

9、 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.1 直接輸出型編碼直接輸出型編碼 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.1 直接輸出型編碼直接輸出型編碼 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.1 直接輸出型編碼直接輸出型編碼 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.1 直接輸出型編碼直接輸出型編碼 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.2 宏定義命令語(yǔ)句宏定義命令語(yǔ)句 define 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.3 順序編碼順序編碼 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.4 一位熱碼狀態(tài)編碼一位熱碼狀態(tài)編碼8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.5 狀態(tài)編碼設(shè)置狀態(tài)編碼設(shè)置 1.

10、用戶自定義方式用戶自定義方式 2. 用屬性定義語(yǔ)句設(shè)置用屬性定義語(yǔ)句設(shè)置 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.5 狀態(tài)編碼設(shè)置狀態(tài)編碼設(shè)置 2. 用屬性定義語(yǔ)句設(shè)置用屬性定義語(yǔ)句設(shè)置 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.5 狀態(tài)編碼設(shè)置狀態(tài)編碼設(shè)置 3. 直接設(shè)置方法直接設(shè)置方法 8.6 狀狀 態(tài)態(tài) 編編 碼碼 8.6.5 狀態(tài)編碼設(shè)置狀態(tài)編碼設(shè)置 3. 直接設(shè)置方法直接設(shè)置方法 8.7 非法狀態(tài)處理非法狀態(tài)處理 8.7 非法狀態(tài)處理非法狀態(tài)處理 8.7.1 程序直接導(dǎo)引法程序直接導(dǎo)引法 8.7 非法狀態(tài)處理非法狀態(tài)處理 8.7.2 狀態(tài)編碼監(jiān)測(cè)法狀態(tài)編碼監(jiān)測(cè)法 8.7.3 借助借助E

11、DA優(yōu)化控制工具生成安全狀態(tài)機(jī)優(yōu)化控制工具生成安全狀態(tài)機(jī) 8.8 硬件數(shù)字技術(shù)排除毛刺硬件數(shù)字技術(shù)排除毛刺 8.8.1 延時(shí)方式去毛刺延時(shí)方式去毛刺 8.8 硬件數(shù)字技術(shù)排除毛刺硬件數(shù)字技術(shù)排除毛刺 8.8.1 延時(shí)方式去毛刺延時(shí)方式去毛刺 8.8 硬件數(shù)字技術(shù)排除毛刺硬件數(shù)字技術(shù)排除毛刺 8.8.2 邏輯方式去毛刺邏輯方式去毛刺 8.8 硬件數(shù)字技術(shù)排除毛刺硬件數(shù)字技術(shù)排除毛刺 8.8.2 邏輯方式去毛刺邏輯方式去毛刺 8.8 硬件數(shù)字技術(shù)排除毛刺硬件數(shù)字技術(shù)排除毛刺 8.8.3 定時(shí)方式去毛刺定時(shí)方式去毛刺 8.8 硬件數(shù)字技術(shù)排除毛刺硬件數(shù)字技術(shù)排除毛刺 8.8.3 定時(shí)方式去毛刺定時(shí)方

12、式去毛刺 習(xí)習(xí) 題題 8-1 舉二例說(shuō)明,有那些常用時(shí)序電路是狀態(tài)機(jī)比較典型特殊形式,并舉二例說(shuō)明,有那些常用時(shí)序電路是狀態(tài)機(jī)比較典型特殊形式,并說(shuō)明它們屬于什么類型的狀態(tài)機(jī)(編碼類型,時(shí)序類型和結(jié)構(gòu)類型)。說(shuō)明它們屬于什么類型的狀態(tài)機(jī)(編碼類型,時(shí)序類型和結(jié)構(gòu)類型)。8-2 用用mealy機(jī)類型,分別寫(xiě)出機(jī)類型,分別寫(xiě)出ADC0809和序列檢測(cè)器的狀態(tài)機(jī)。和序列檢測(cè)器的狀態(tài)機(jī)。習(xí)習(xí) 題題 8-3 根據(jù)圖根據(jù)圖8-32(a)所示的狀態(tài)圖,分別按照?qǐng)D)所示的狀態(tài)圖,分別按照?qǐng)D8-32(b)和圖)和圖8-32(c)寫(xiě)出)寫(xiě)出對(duì)應(yīng)結(jié)構(gòu)的對(duì)應(yīng)結(jié)構(gòu)的Verilog狀態(tài)機(jī)。并根據(jù)表狀態(tài)機(jī)。并根據(jù)表8-2,

13、分別用,分別用3中不同編碼方式實(shí)現(xiàn)二狀態(tài)中不同編碼方式實(shí)現(xiàn)二狀態(tài)機(jī),并討論他們的容錯(cuò)措施。機(jī),并討論他們的容錯(cuò)措施。習(xí)習(xí) 題題 8-4 請(qǐng)?jiān)O(shè)計(jì)一種信號(hào)去抖動(dòng)的電路模型,仿真后,討論其優(yōu)缺點(diǎn)和使用請(qǐng)?jiān)O(shè)計(jì)一種信號(hào)去抖動(dòng)的電路模型,仿真后,討論其優(yōu)缺點(diǎn)和使用范圍。范圍。8-5 根據(jù)根據(jù)8.5節(jié),用表格法和繪圖法設(shè)計(jì)狀態(tài)機(jī),實(shí)現(xiàn)例節(jié),用表格法和繪圖法設(shè)計(jì)狀態(tài)機(jī),實(shí)現(xiàn)例8-2的功能,用的功能,用時(shí)序仿真波形圖驗(yàn)證之。最后將其轉(zhuǎn)變成時(shí)序仿真波形圖驗(yàn)證之。最后將其轉(zhuǎn)變成Verilog程序,將此程序與例程序,將此程序與例8-2相比,討論他們的表述風(fēng)格。相比,討論他們的表述風(fēng)格。 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-1

14、序列檢測(cè)器設(shè)計(jì)序列檢測(cè)器設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模海?shí)驗(yàn)?zāi)康模海?)實(shí)驗(yàn)任務(wù):)實(shí)驗(yàn)任務(wù):(3)實(shí)驗(yàn)思考題:)實(shí)驗(yàn)思考題:(4)實(shí)驗(yàn)報(bào)告:)實(shí)驗(yàn)報(bào)告:基于基于5E+系統(tǒng)的演示系統(tǒng)的演示示例:示例:/KX_7C5EE+/EXPERIMENTs/EXP34_SCHK/ 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-2 并行并行ADC采樣控制電路實(shí)現(xiàn)與硬件驗(yàn)證采樣控制電路實(shí)現(xiàn)與硬件驗(yàn)證(1)實(shí)驗(yàn)?zāi)康模海?shí)驗(yàn)?zāi)康模海?)實(shí)驗(yàn)原理:)實(shí)驗(yàn)原理:(3)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)1:(4)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)2:(:(5)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)3:(:(6)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)4:(:(7)實(shí)驗(yàn)報(bào)告:)實(shí)驗(yàn)報(bào)告: 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-3 數(shù)據(jù)

15、采集模塊和簡(jiǎn)易存儲(chǔ)示波器設(shè)計(jì)數(shù)據(jù)采集模塊和簡(jiǎn)易存儲(chǔ)示波器設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模海ǎ?shí)驗(yàn)?zāi)康模海?)實(shí)驗(yàn)原理:)實(shí)驗(yàn)原理:(3)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容1: 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-3 數(shù)據(jù)采集模塊和簡(jiǎn)易存儲(chǔ)示波器設(shè)計(jì)數(shù)據(jù)采集模塊和簡(jiǎn)易存儲(chǔ)示波器設(shè)計(jì)(4)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容2: 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-3 數(shù)據(jù)采集模塊和簡(jiǎn)易存儲(chǔ)示波器設(shè)計(jì)數(shù)據(jù)采集模塊和簡(jiǎn)易存儲(chǔ)示波器設(shè)計(jì)(5)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容3:(6)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容4:(7)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容5:(8)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容6:(9)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容7: 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-4 五功能智能邏輯筆設(shè)計(jì)五功能智能邏輯筆設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模海?shí)驗(yàn)

16、目的:(2)實(shí)驗(yàn)原理:)實(shí)驗(yàn)原理: (3)實(shí)驗(yàn)內(nèi)容:)實(shí)驗(yàn)內(nèi)容: 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-5 比較器加比較器加DAC器件實(shí)現(xiàn)器件實(shí)現(xiàn)ADC轉(zhuǎn)換功能電路設(shè)計(jì)轉(zhuǎn)換功能電路設(shè)計(jì)(1)實(shí)驗(yàn)原理:)實(shí)驗(yàn)原理:(2)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容1: (3)實(shí)驗(yàn)內(nèi)容)實(shí)驗(yàn)內(nèi)容2:示例文件:示例文件:/KX_7C5EE/EXPERIMENTs/EXP26_DAC_TO_ADC/實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-6 通用異步收發(fā)器通用異步收發(fā)器UART設(shè)計(jì)設(shè)計(jì)(1) 實(shí)驗(yàn)?zāi)康模簩?shí)驗(yàn)?zāi)康模?2) 實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)內(nèi)容1: 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-6 通用異步收發(fā)器通用異步收發(fā)器UART設(shè)計(jì)設(shè)計(jì)(3) 實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)內(nèi)容2:(4) 實(shí)

17、驗(yàn)內(nèi)容實(shí)驗(yàn)內(nèi)容3:另一另一UART演示示例:演示示例:/KX_7C5EE+/DEMOs/EXPL14_RS232_PIANO。實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-7 點(diǎn)陣型與字符型液晶顯示器驅(qū)動(dòng)控制電路設(shè)計(jì)點(diǎn)陣型與字符型液晶顯示器驅(qū)動(dòng)控制電路設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模簩W(xué)習(xí)設(shè)計(jì))實(shí)驗(yàn)?zāi)康模簩W(xué)習(xí)設(shè)計(jì)Verilog狀態(tài)機(jī)控制不同類型液晶顯示器的電路。狀態(tài)機(jī)控制不同類型液晶顯示器的電路。(2)實(shí)驗(yàn)原理:通常情況下,目前常用的字符或點(diǎn)陣型液晶都是使用單片機(jī)控)實(shí)驗(yàn)原理:通常情況下,目前常用的字符或點(diǎn)陣型液晶都是使用單片機(jī)控制的。為了提高自主創(chuàng)新能力和自主知識(shí)產(chǎn)權(quán)系統(tǒng)設(shè)計(jì)水平,和提高制的。為了提高自主創(chuàng)新能力和自主知識(shí)產(chǎn)

18、權(quán)系統(tǒng)設(shè)計(jì)水平,和提高Verilog設(shè)設(shè)計(jì)的功力,本設(shè)計(jì)中希望全部用計(jì)的功力,本設(shè)計(jì)中希望全部用Verilog狀態(tài)機(jī)設(shè)計(jì)并控制,不用任何狀態(tài)機(jī)設(shè)計(jì)并控制,不用任何CPU。從。從實(shí)用角度看這也是十分必要的。篇幅所限,請(qǐng)讀者查閱實(shí)用角度看這也是十分必要的。篇幅所限,請(qǐng)讀者查閱LCD控制資料:查閱文控制資料:查閱文件夾件夾“LCD_FILE”。(3)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)1:設(shè)計(jì)點(diǎn)陣型液晶顯示控制電路。查閱文件夾:設(shè)計(jì)點(diǎn)陣型液晶顯示控制電路。查閱文件夾LCD_FILE中的中的“H128X64液晶顯示使用說(shuō)明液晶顯示使用說(shuō)明”等文件。用狀態(tài)機(jī)設(shè)計(jì)等文件。用狀態(tài)機(jī)設(shè)計(jì)128X16點(diǎn)陣型液晶顯示點(diǎn)陣型液晶顯示

19、控制電路。顯示內(nèi)容自定。控制電路。顯示內(nèi)容自定。(4)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)2:設(shè)計(jì)字符型液晶顯示控制電路。查閱文件夾:設(shè)計(jì)字符型液晶顯示控制電路。查閱文件夾LCD_FILE中的中的“HS162-4液晶顯示使用說(shuō)明液晶顯示使用說(shuō)明”和和“JH16X04LCD”等文件。用狀態(tài)機(jī)設(shè)計(jì)等文件。用狀態(tài)機(jī)設(shè)計(jì)2行行16字符或字符或4行行16(20)字符型液晶顯示控制電路。顯示內(nèi)容自定。)字符型液晶顯示控制電路。顯示內(nèi)容自定。以上以上2類基于類基于5E+系統(tǒng)的系統(tǒng)的LCD的演示示例是:的演示示例是:/KX_7C5EE/DEMOs/EXPL18_PS2_64X128LCD/;或;或/EXPERIMENTs/EXP20_8051_LCD128X64/;或或/EXPERIMENTs/EXP17_KX8051_GPS_FTEST/。 實(shí)驗(yàn)與設(shè)計(jì)實(shí)驗(yàn)與設(shè)計(jì) 8-7 點(diǎn)陣型與字符型液晶顯示器驅(qū)動(dòng)控制電路設(shè)計(jì)點(diǎn)陣型與字符型液晶顯示器驅(qū)動(dòng)控制電路設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模海?shí)驗(yàn)?zāi)康模海?)實(shí)驗(yàn)原理:)實(shí)驗(yàn)原理:(3)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)1:(4)實(shí)驗(yàn)任務(wù))實(shí)驗(yàn)任務(wù)2:以上以上2類基于類基于5E+系統(tǒng)的系統(tǒng)的LCD的演

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論