微型計(jì)算機(jī)原理第6章 模擬量輸入輸出_第1頁(yè)
微型計(jì)算機(jī)原理第6章 模擬量輸入輸出_第2頁(yè)
微型計(jì)算機(jī)原理第6章 模擬量輸入輸出_第3頁(yè)
微型計(jì)算機(jī)原理第6章 模擬量輸入輸出_第4頁(yè)
微型計(jì)算機(jī)原理第6章 模擬量輸入輸出_第5頁(yè)
已閱讀5頁(yè),還剩42頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第第6章章 模擬量的輸入輸出模擬量的輸入輸出n本章內(nèi)容本章內(nèi)容n模擬量輸入輸出通道的組成模擬量輸入輸出通道的組成nD/A轉(zhuǎn)換器轉(zhuǎn)換器n原理及連接使用方法原理及連接使用方法nA/D轉(zhuǎn)換器轉(zhuǎn)換器n原理及連接使用方法原理及連接使用方法 n模擬量模擬量I/O接口的作用:接口的作用:n實(shí)際工業(yè)生產(chǎn)環(huán)境實(shí)際工業(yè)生產(chǎn)環(huán)境連續(xù)變化的模擬量連續(xù)變化的模擬量 n例如:電壓、電流、壓力、溫度、位移、流量例如:電壓、電流、壓力、溫度、位移、流量 n計(jì)算機(jī)內(nèi)部計(jì)算機(jī)內(nèi)部離散的數(shù)字量離散的數(shù)字量n二進(jìn)制數(shù)、十進(jìn)制數(shù)二進(jìn)制數(shù)、十進(jìn)制數(shù)n工業(yè)生產(chǎn)過程的閉環(huán)控制工業(yè)生產(chǎn)過程的閉環(huán)控制 概述概述 模擬量模擬量D/A傳感器傳感器執(zhí)

2、行元件執(zhí)行元件A/D數(shù)字量數(shù)字量數(shù)字量數(shù)字量模擬量模擬量模擬量輸入模擬量輸入(數(shù)據(jù)采集數(shù)據(jù)采集)模擬量輸出模擬量輸出(過程控制過程控制)計(jì)算機(jī)計(jì)算機(jī) 6.1 模擬量模擬量I/O通道的組成通道的組成傳傳感感器器放大放大濾波濾波多路轉(zhuǎn)換多路轉(zhuǎn)換&采樣保持采樣保持A/D轉(zhuǎn)換轉(zhuǎn)換放大放大驅(qū)動(dòng)驅(qū)動(dòng)D/A轉(zhuǎn)換轉(zhuǎn)換輸出輸出接口接口執(zhí)行執(zhí)行機(jī)構(gòu)機(jī)構(gòu)輸入輸入接口接口輸入通道輸入通道模擬接口電路的任務(wù)模擬接口電路的任務(wù)模擬電路的任務(wù)模擬電路的任務(wù)0010110110101100工工業(yè)業(yè)生生產(chǎn)產(chǎn)過過程程微微型型計(jì)計(jì)算算機(jī)機(jī)物理量物理量變換變換信號(hào)信號(hào)處理處理信號(hào)信號(hào)變換變換I/O接口接口輸出通道輸出通道6.

3、2 數(shù)數(shù)/模(模(D/A)變換器)變換器n6.2.1 D/A變換器的基本原理及技術(shù)指標(biāo)變換器的基本原理及技術(shù)指標(biāo)nD/A變換器的基本工作原理變換器的基本工作原理n組成:模擬開關(guān)、組成:模擬開關(guān)、電阻網(wǎng)絡(luò)電阻網(wǎng)絡(luò)、運(yùn)算放大器、運(yùn)算放大器 n兩種電阻網(wǎng)絡(luò):權(quán)電阻網(wǎng)絡(luò)、兩種電阻網(wǎng)絡(luò):權(quán)電阻網(wǎng)絡(luò)、R-2R T型電阻網(wǎng)絡(luò)型電阻網(wǎng)絡(luò)n基本結(jié)構(gòu)如圖:基本結(jié)構(gòu)如圖:VrefRf 模擬開關(guān)模擬開關(guān)電阻網(wǎng)絡(luò)電阻網(wǎng)絡(luò)VO數(shù)字量數(shù)字量2 R4 R8 R16 R32 R64 R128 R256 RVrefRf VOS1S2S3S4S5S6S7S81 權(quán)電阻網(wǎng)絡(luò)型權(quán)電阻網(wǎng)絡(luò)型D/A轉(zhuǎn)換器工作原理轉(zhuǎn)換器工作原理n圖中的電阻

4、網(wǎng)絡(luò)就稱為圖中的電阻網(wǎng)絡(luò)就稱為權(quán)電阻網(wǎng)絡(luò)權(quán)電阻網(wǎng)絡(luò)D7D0 如果每個(gè)支路由一個(gè)開關(guān)如果每個(gè)支路由一個(gè)開關(guān)Si控制,控制,Si=1表示表示Si合上,合上,Si=0表示表示Si斷開,則上式變換為斷開,則上式變換為 REFfVRRV0niiiREFfSVRRV102若若Si=1,該項(xiàng)對(duì)該項(xiàng)對(duì)VO有貢獻(xiàn)有貢獻(xiàn)若若Si=0,該項(xiàng)對(duì)該項(xiàng)對(duì)VO無貢獻(xiàn)無貢獻(xiàn) 對(duì)于對(duì)于8位的位的D/A轉(zhuǎn)換器轉(zhuǎn)換器 )222(2)222()222(20066770768717788810DDDVRRDDDVRRSSSVRRSVRRVRETfREFfREFfiiiREFf 2 T型電阻網(wǎng)絡(luò)型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器轉(zhuǎn)換器O DN-1D

5、0)222(2)242(/870160708670DDDRRVRDRVDRVDRVIRVADfREFfREFREFREFf 轉(zhuǎn)換器位對(duì)于6.2.3 典型典型D/A轉(zhuǎn)換器轉(zhuǎn)換器一、8位D/A轉(zhuǎn)換器DAC0832n特性:特性:n8位電流輸出型位電流輸出型D/A轉(zhuǎn)換器轉(zhuǎn)換器nT型電阻網(wǎng)絡(luò)型電阻網(wǎng)絡(luò)n差動(dòng)輸出差動(dòng)輸出(一)(一)DAC0832內(nèi)部結(jié)構(gòu)內(nèi)部結(jié)構(gòu)引腳功能引腳功能nD7D0:輸入數(shù)據(jù)線nILE:輸入鎖存允許nCS:片選信號(hào) 用于把數(shù)據(jù)寫入到輸入鎖存器用于把數(shù)據(jù)寫入到輸入鎖存器nWR1:寫輸入鎖存器 nWR2:寫DAC寄存器nXFER:允許輸入鎖存器的數(shù)據(jù)傳送到DAC寄存器 上述二個(gè)信號(hào)用于啟

6、動(dòng)轉(zhuǎn)換上述二個(gè)信號(hào)用于啟動(dòng)轉(zhuǎn)換nVREF:參考電壓,-10V+10V,一般為+5V或+10VnIOUT1、IOUT2:D/A轉(zhuǎn)換差動(dòng)電流輸出,接運(yùn)放的輸入nRfb:內(nèi)部反饋電阻引腳,接運(yùn)放輸出nAGND、DGND:模擬地和數(shù)字地 nD/A轉(zhuǎn)換可分為兩個(gè)階段:轉(zhuǎn)換可分為兩個(gè)階段:nCS=0、WR1=0、ILE=1,使輸入數(shù)據(jù)鎖存,使輸入數(shù)據(jù)鎖存到輸入寄存器;到輸入寄存器;nWR2=0、XFER=0,數(shù)據(jù)傳送到,數(shù)據(jù)傳送到DAC寄存器,寄存器,并開始轉(zhuǎn)換。并開始轉(zhuǎn)換。(二)(二)DAC0832與系統(tǒng)的連接與系統(tǒng)的連接1. 雙緩沖方式(標(biāo)準(zhǔn)方式)雙緩沖方式(標(biāo)準(zhǔn)方式)轉(zhuǎn)換要有兩個(gè)步驟:轉(zhuǎn)換要有兩個(gè)步

7、驟: 將數(shù)據(jù)寫入輸入寄存器將數(shù)據(jù)寫入輸入寄存器CS=0、WR1=0、ILE=1 將輸入寄存器的內(nèi)容寫入將輸入寄存器的內(nèi)容寫入DAC寄存器寄存器WR2=0、XFER=0 優(yōu)點(diǎn):優(yōu)點(diǎn): 可實(shí)現(xiàn)多個(gè)可實(shí)現(xiàn)多個(gè)DAC同步轉(zhuǎn)換輸同步轉(zhuǎn)換輸出出分時(shí)寫入分時(shí)寫入、同步轉(zhuǎn)換同步轉(zhuǎn)換2. 單緩沖方式單緩沖方式 使輸入鎖存使輸入鎖存器或器或DAC寄存器寄存器二者之一處于直二者之一處于直通通。CPU只需一只需一次寫入即開始轉(zhuǎn)次寫入即開始轉(zhuǎn)換??刂票容^簡(jiǎn)換??刂票容^簡(jiǎn)單。單。3. 直通方式直通方式使內(nèi)部的兩個(gè)寄存器都處于直通狀態(tài)。模擬使內(nèi)部的兩個(gè)寄存器都處于直通狀態(tài)。模擬輸出始終跟隨輸入變化。輸出始終跟隨輸入變化。D

8、AC0832數(shù)據(jù)線不能直接與數(shù)據(jù)總線連接,數(shù)據(jù)線不能直接與數(shù)據(jù)總線連接,需外加并行接口需外加并行接口(如如74LS373、8255等等)。(三)(三)DAC0832的輸出電路的輸出電路1. 單極性輸出電路單極性輸出電路2.雙極性輸出電路雙極性輸出電路(四)(四) 雙緩沖方式雙緩沖方式同步轉(zhuǎn)換舉例同步轉(zhuǎn)換舉例A10-A0譯碼器譯碼器0832-10832-2port1port2port3雙緩沖方式的程序段示例雙緩沖方式的程序段示例本例中三個(gè)端口地址的用途:本例中三個(gè)端口地址的用途:port1 選擇選擇0832-1的輸入寄存器的輸入寄存器 port2 選擇選擇0832-2的輸入寄存器的輸入寄存器 p

9、ort3 選擇選擇0832-1和和0832-2的的DAC寄存器寄存器MOV AL,X ; 要轉(zhuǎn)換的數(shù)據(jù)送要轉(zhuǎn)換的數(shù)據(jù)送ALMOV DX,port1 ; 0832-1的輸入寄存器地址送的輸入寄存器地址送DXOUT DX,AL ; 數(shù)據(jù)送數(shù)據(jù)送0832-1的輸入寄存器的輸入寄存器MOV AL,Y ; 要轉(zhuǎn)換的數(shù)據(jù)送要轉(zhuǎn)換的數(shù)據(jù)送ALMOV DX,port2 ; 0832-2輸入寄存器地址送輸入寄存器地址送DXOUT DX,AL ; 數(shù)據(jù)送數(shù)據(jù)送0832-2的輸入寄存器的輸入寄存器MOV DX,port3 ; DAC寄存器端口地址送寄存器端口地址送DXOUT DX,AL ; 數(shù)據(jù)送數(shù)據(jù)送DAC寄存器

10、,并啟動(dòng)同步轉(zhuǎn)換寄存器,并啟動(dòng)同步轉(zhuǎn)換HLTD/A轉(zhuǎn)換器的應(yīng)用轉(zhuǎn)換器的應(yīng)用n函數(shù)發(fā)生器函數(shù)發(fā)生器n只要往只要往D/A轉(zhuǎn)換器寫入按規(guī)律變化的數(shù)據(jù),即可轉(zhuǎn)換器寫入按規(guī)律變化的數(shù)據(jù),即可在輸出端獲得正弦波、三角波、鋸齒波、方波、在輸出端獲得正弦波、三角波、鋸齒波、方波、階梯波、梯形波等函數(shù)波形。階梯波、梯形波等函數(shù)波形。n直流電機(jī)的轉(zhuǎn)速控制直流電機(jī)的轉(zhuǎn)速控制n用不同的數(shù)值產(chǎn)生不同的電壓,控制電機(jī)的轉(zhuǎn)速用不同的數(shù)值產(chǎn)生不同的電壓,控制電機(jī)的轉(zhuǎn)速n其他需要用電壓其他需要用電壓/電流來進(jìn)行控制的場(chǎng)合電流來進(jìn)行控制的場(chǎng)合 二、二、12位位D/A轉(zhuǎn)換器轉(zhuǎn)換器 DAC1208 DAC1208系列系列D/A轉(zhuǎn)換器

11、有轉(zhuǎn)換器有DAC1208、DAC1209、DAC1210三種芯片類型,是與微處理器完全兼容的三種芯片類型,是與微處理器完全兼容的12位位D/A轉(zhuǎn)轉(zhuǎn)換器。其功耗低,輸出電流穩(wěn)定時(shí)間為換器。其功耗低,輸出電流穩(wěn)定時(shí)間為1s,參考電壓范圍為,參考電壓范圍為-10V+10V,單工作電源范圍為,單工作電源范圍為+5V+15V,轉(zhuǎn)換精度較高,轉(zhuǎn)換精度較高,價(jià)格低廉,接口簡(jiǎn)單,目前應(yīng)用較為廣泛。價(jià)格低廉,接口簡(jiǎn)單,目前應(yīng)用較為廣泛。 由于由于DAC1208是是12位數(shù)據(jù)總線,對(duì)于位數(shù)據(jù)總線,對(duì)于8088CPU8位位數(shù)據(jù)總線,需分兩次從數(shù)據(jù)總線,需分兩次從CPU送出。送出。 所以,所以,DAC1208與與80

12、88CPU總線連接,只可連接成總線連接,只可連接成雙緩沖器方式。雙緩沖器方式。 數(shù)據(jù)的操作必須分為數(shù)據(jù)的操作必須分為3步進(jìn)行,首先將高步進(jìn)行,首先將高8位數(shù)據(jù)寫入位數(shù)據(jù)寫入8位輸入鎖存器,然后將低位輸入鎖存器,然后將低4位數(shù)據(jù)寫入位數(shù)據(jù)寫入4位輸入鎖存器,最位輸入鎖存器,最后將后將12位數(shù)據(jù)從輸入鎖存器中寫入位數(shù)據(jù)從輸入鎖存器中寫入12位位DAC寄存器,將寄存器,將12位轉(zhuǎn)換數(shù)據(jù)送往位轉(zhuǎn)換數(shù)據(jù)送往DAC1208接口電路進(jìn)行接口電路進(jìn)行D/A轉(zhuǎn)換。轉(zhuǎn)換。DAC1208與與CPU的接口地址為:的接口地址為:0202H ,0203H,0204HA9 A8 A7 A6 A5 A4 A3 A2 A1 A

13、01 0 0 - - - 0 0 1 0 8位寄存器位寄存器 1 0 0 - - - 0 0 1 1 4位寄存器位寄存器1 0 0 - - - 0 1 0 0 12位寄存器位寄存器 編程將編程將BX寄存器中低寄存器中低12位數(shù)據(jù)送位數(shù)據(jù)送DAC1208轉(zhuǎn)換成模擬量。轉(zhuǎn)換成模擬量。 MOV DX, 0202H MOV CL ,4 SHL BX ,CL MOV AL ,BH OUT DX ,AL MOV DX ,0203H MOV AL, BL OUT DX ,AL MOV DX ,0204H OUT DX ,AL HLT 6.3 模模/數(shù)(數(shù)(A/D)轉(zhuǎn)換器)轉(zhuǎn)換器n用途用途n將連續(xù)變化的模擬信

14、號(hào)轉(zhuǎn)換為數(shù)字信號(hào),以便將連續(xù)變化的模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào),以便于計(jì)算機(jī)進(jìn)行處理。于計(jì)算機(jī)進(jìn)行處理。n常用于數(shù)據(jù)采集系統(tǒng)或數(shù)字化聲音。常用于數(shù)據(jù)采集系統(tǒng)或數(shù)字化聲音。A/D轉(zhuǎn)換器的分類轉(zhuǎn)換器的分類n根據(jù)根據(jù)A/D轉(zhuǎn)換原理和特點(diǎn)的不同,可把轉(zhuǎn)換原理和特點(diǎn)的不同,可把ADC分成兩大類:分成兩大類:直接直接ADC和和間接間接ADC。n直接直接ADC是將模擬電壓直接轉(zhuǎn)換成數(shù)字量,常用是將模擬電壓直接轉(zhuǎn)換成數(shù)字量,常用的有:的有:n逐次逼近式逐次逼近式ADC、計(jì)數(shù)式、計(jì)數(shù)式ADC、并行轉(zhuǎn)換式、并行轉(zhuǎn)換式ADC等。等。n 間接間接ADC是將模擬電壓先轉(zhuǎn)換成中間量,如脈是將模擬電壓先轉(zhuǎn)換成中間量,如脈沖周期沖

15、周期T、脈沖頻率、脈沖頻率f、脈沖寬度、脈沖寬度等,再將中間等,再將中間量變成數(shù)字量。常見的有:量變成數(shù)字量。常見的有:n單積分式單積分式ADC、雙積分式、雙積分式ADC,V/F轉(zhuǎn)換式轉(zhuǎn)換式ADC等等。典型的典型的A/D轉(zhuǎn)換器簡(jiǎn)介轉(zhuǎn)換器簡(jiǎn)介nADC0809n8通道(通道(8路)輸入路)輸入n8位字長(zhǎng)位字長(zhǎng) n逐位逼近型逐位逼近型n轉(zhuǎn)換時(shí)間轉(zhuǎn)換時(shí)間100s n內(nèi)置三態(tài)輸出緩沖器(可直接接到數(shù)據(jù)總線上)內(nèi)置三態(tài)輸出緩沖器(可直接接到數(shù)據(jù)總線上)引腳功能引腳功能 D7D0:輸出數(shù)據(jù)線(三態(tài)):輸出數(shù)據(jù)線(三態(tài))IN0IN7:8通道(路)模擬輸入通道(路)模擬輸入ADDA、ADDB、ADDC:通道地址

16、(通道選擇):通道地址(通道選擇)ALE:通道地址鎖存:通道地址鎖存START:?jiǎn)?dòng)轉(zhuǎn)換:?jiǎn)?dòng)轉(zhuǎn)換EOC:轉(zhuǎn)換結(jié)束,可用于查詢或作為中斷申請(qǐng):轉(zhuǎn)換結(jié)束,可用于查詢或作為中斷申請(qǐng)OE:輸出允許(打開輸出三態(tài)門):輸出允許(打開輸出三態(tài)門)CLK:時(shí)鐘輸入(:時(shí)鐘輸入(10KHz1.2MHz)VREF(+)、VREF(-):基準(zhǔn)參考電壓:基準(zhǔn)參考電壓ADC0809內(nèi)部結(jié)構(gòu)內(nèi)部結(jié)構(gòu)START EOC CLK OED7D0VREF(+) VREF(-)ADDCADDBADDAALEIN0IN7比較器比較器8路模路模擬開擬開關(guān)關(guān)樹狀開關(guān)樹狀開關(guān)電阻網(wǎng)絡(luò)電阻網(wǎng)絡(luò)三態(tài)三態(tài)輸出輸出鎖存鎖存器器時(shí)序與控制時(shí)序與

17、控制地址地址鎖存鎖存及及譯碼譯碼D/A8個(gè)個(gè)模模擬擬輸輸入入通通道道8選選1逐位逼近寄存器逐位逼近寄存器SAR工作時(shí)序工作時(shí)序 ADC0809的工作過程的工作過程n根據(jù)時(shí)序圖,根據(jù)時(shí)序圖,ADC0809的工作過程如下:的工作過程如下:把把通道地址送到通道地址送到ADDAADDC上,上,選擇選擇某某一一個(gè)模擬輸入個(gè)模擬輸入量;量; 在通道地址信號(hào)有效期間,在通道地址信號(hào)有效期間,ALE上的上的上升沿上升沿使使該地址鎖存到內(nèi)部地址鎖存器;該地址鎖存到內(nèi)部地址鎖存器;START引腳上的引腳上的下降沿下降沿啟動(dòng)啟動(dòng)A/D變換;變換; 變換開始后,變換開始后,EOC引腳呈現(xiàn)引腳呈現(xiàn)低電平低電平, EOC

18、重重新變?yōu)樾伦優(yōu)楦唠娖礁唠娖綍r(shí)表示轉(zhuǎn)換結(jié)束;時(shí)表示轉(zhuǎn)換結(jié)束;OE信號(hào)打開輸出鎖存器的三態(tài)門送出結(jié)果信號(hào)打開輸出鎖存器的三態(tài)門送出結(jié)果 。 A/D轉(zhuǎn)換器與計(jì)算機(jī)總線的硬件接口有轉(zhuǎn)換器與計(jì)算機(jī)總線的硬件接口有3種方式:查詢種方式:查詢方式、延時(shí)方式和中斷方式。方式、延時(shí)方式和中斷方式。1.查詢方式:首先由查詢方式:首先由CPU向向A/D轉(zhuǎn)換器發(fā)出啟動(dòng)脈沖,然后讀轉(zhuǎn)換器發(fā)出啟動(dòng)脈沖,然后讀取轉(zhuǎn)換結(jié)束信號(hào)(如取轉(zhuǎn)換結(jié)束信號(hào)(如ADC0809的的EOC),根據(jù)轉(zhuǎn)換結(jié)束信),根據(jù)轉(zhuǎn)換結(jié)束信號(hào)的狀態(tài),判斷號(hào)的狀態(tài),判斷A/D轉(zhuǎn)換是否結(jié)束,如果結(jié)束,可以讀取轉(zhuǎn)換是否結(jié)束,如果結(jié)束,可以讀取A/D轉(zhuǎn)換結(jié)果,否則

19、繼續(xù)查詢,直至轉(zhuǎn)換結(jié)果,否則繼續(xù)查詢,直至A/D轉(zhuǎn)換結(jié)束。轉(zhuǎn)換結(jié)束。 這種方法這種方法,應(yīng)為應(yīng)為A/D設(shè)計(jì)三種接口:?jiǎn)?dòng)命令口(輸出設(shè)計(jì)三種接口:?jiǎn)?dòng)命令口(輸出口)、狀態(tài)口(輸入口)和數(shù)據(jù)口(輸入口)口)、狀態(tài)口(輸入口)和數(shù)據(jù)口(輸入口) 該方案程序設(shè)計(jì)比較簡(jiǎn)單,且可靠性高,但實(shí)時(shí)性差。該方案程序設(shè)計(jì)比較簡(jiǎn)單,且可靠性高,但實(shí)時(shí)性差。但由于大多數(shù)控制系統(tǒng)對(duì)于這點(diǎn)時(shí)間都是允許的,所以,這但由于大多數(shù)控制系統(tǒng)對(duì)于這點(diǎn)時(shí)間都是允許的,所以,這種方法用得最多。種方法用得最多。2. 延時(shí)方式:向延時(shí)方式:向A/D發(fā)出啟動(dòng)脈沖后,先進(jìn)行發(fā)出啟動(dòng)脈沖后,先進(jìn)行軟件延時(shí),此延時(shí)時(shí)間取決于軟件延時(shí),此延時(shí)時(shí)

20、間取決于A/D轉(zhuǎn)換器完轉(zhuǎn)換器完成成A/D轉(zhuǎn)換所需要的時(shí)間(如轉(zhuǎn)換所需要的時(shí)間(如ADC0809約約為為100s),經(jīng)過延時(shí)后可讀取數(shù)據(jù)。),經(jīng)過延時(shí)后可讀取數(shù)據(jù)。 采用延時(shí)方式時(shí),轉(zhuǎn)換結(jié)束引腳懸空。采用延時(shí)方式時(shí),轉(zhuǎn)換結(jié)束引腳懸空。在這種方式中,應(yīng)為在這種方式中,應(yīng)為A/D設(shè)計(jì)二個(gè)接口設(shè)計(jì)二個(gè)接口 :?jiǎn)ⅲ簡(jiǎn)?dòng)命令口(輸出口)、數(shù)據(jù)口(輸入口)。動(dòng)命令口(輸出口)、數(shù)據(jù)口(輸入口)。 為了確保轉(zhuǎn)換完成,必須把時(shí)間適當(dāng)延為了確保轉(zhuǎn)換完成,必須把時(shí)間適當(dāng)延長(zhǎng),因此,其速度比查詢方式還慢,故應(yīng)用長(zhǎng),因此,其速度比查詢方式還慢,故應(yīng)用較少。較少。3. 中斷方式:中斷方式:CPU啟動(dòng)啟動(dòng)A/D轉(zhuǎn)換后即可轉(zhuǎn)

21、而處理其轉(zhuǎn)換后即可轉(zhuǎn)而處理其他的程序,一旦他的程序,一旦A/D轉(zhuǎn)換結(jié)束,則由轉(zhuǎn)換結(jié)束,則由A/D轉(zhuǎn)換器發(fā)轉(zhuǎn)換器發(fā)出一轉(zhuǎn)換結(jié)束信號(hào)向出一轉(zhuǎn)換結(jié)束信號(hào)向CPU申請(qǐng)中斷,申請(qǐng)中斷,CPU響應(yīng)中斷響應(yīng)中斷后,便讀入數(shù)據(jù)。后,便讀入數(shù)據(jù)。 采用中斷方式時(shí),轉(zhuǎn)換結(jié)束信號(hào)采用中斷方式時(shí),轉(zhuǎn)換結(jié)束信號(hào)EOC通常與計(jì)通常與計(jì)算機(jī)的外部中斷引腳連接。算機(jī)的外部中斷引腳連接。 在中斷方式中,應(yīng)為在中斷方式中,應(yīng)為A/D設(shè)計(jì)二個(gè)端口:?jiǎn)?dòng)設(shè)計(jì)二個(gè)端口:?jiǎn)?dòng)命令口(輸出口)、數(shù)據(jù)口(輸入口)。命令口(輸出口)、數(shù)據(jù)口(輸入口)。 CPU與與A/D轉(zhuǎn)換器是并行工作的,因此,其轉(zhuǎn)換器是并行工作的,因此,其工作效率高。在多回路數(shù)據(jù)采集系統(tǒng)中一般采用中工作效率高。在多回路數(shù)據(jù)采集系統(tǒng)中一般采用中斷方式。斷方式。D0IN0A15-A0IORIOWD7-D0D7-D0EOCOESTARTALEADDCADDBADDA譯譯碼碼器器ADC0809一個(gè)連接實(shí)例(用查詢方式)一個(gè)連接實(shí)例(用查詢方式)模擬信號(hào)輸入模擬信號(hào)輸入進(jìn)行一次進(jìn)行一次A/D轉(zhuǎn)換的程序轉(zhuǎn)換的程序(以上圖為例以上圖為例)n用查詢用查詢EOC

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論