版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、摘要目錄第二章EDA技術 22.1 EDA的概述和特點 22.2 EDA的發(fā)展 2第三章電子秒表的設計 43.1 電子秒表的模塊結(jié)構(gòu)圖 43.2 電子秒表單元電路設計 43.2.1 分模塊及仿真波形圖 43.2.2 秒模塊及仿真波形圖 63.2.3 毫秒模塊及仿真波形圖 83.2.4 消抖模塊設計 103.2.5 分頻模塊設計 113.3 電子秒表總模塊 12第四章 電子秒表的調(diào)試 144.1 引腳綁定 144.2 程序下載 15I第二章EDA技術第二章EDA技術2.1 EDA的概述和特點EDA是電子設計自動化(Electronic Design Automation)的縮寫。由于它是一門 剛
2、剛發(fā)展起來的新技術,涉及面廣,內(nèi)容豐富,理解各異,所以目前尚無一個 確切的定義。但從 EDA技術的幾個主要方面的內(nèi)容來看,可以理解為:EDA技術是以大規(guī)??删幊踢壿嬈骷樵O計載體,以硬件描述語言為系統(tǒng)邏輯描述 的主要表達方式,以計算機、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實驗開發(fā)系 統(tǒng)為設計工具,通過有關的開發(fā)軟件,自動完成用軟件的方式設計電子系統(tǒng)到 硬件系統(tǒng)的一門新技術??梢詫崿F(xiàn)邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合 及優(yōu)化,邏輯布局布線、邏輯仿真。完成對于特定目標芯片的適配編譯、邏輯 映射、編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒DA技術是伴隨著計算機、集成電路、電子系統(tǒng)的設計發(fā)
3、展起來的,至今已有30多年的歷 程。EDA是立足于計算機工作平臺開發(fā)出來的一整套先進的設計電子系統(tǒng)的 軟件工具;是在計算機的輔助下完成電子產(chǎn)品設計方案的輸入、處理、仿真和 下載的一種硬件設計技術;是微電子技術中的核心技術之一,是現(xiàn)代集成系統(tǒng) 設計的重要方法。采用高級語言描述,具有系統(tǒng)級仿真和綜合能力2.2 EDA的發(fā)展EDA技術在進入21世紀后,由于更大規(guī)模的FPGA等器件的不斷推出, 在仿真和設計兩方面支持標準硬件描述語言的功能強大的EDA軟件不斷更新、增加,使電子EDA技術得到了更大的發(fā)展。電子技術全方位納入EDA領域,EDA使得電子領域各學科的界限更加模糊,更加互為包容,突出表現(xiàn)在以下幾
4、 個方面:使電子設計成果以自主知識產(chǎn)權(quán)的方式得以明確表達和確認成為可能; 基于EDA工具的ASIC設計標準單元已涵蓋大規(guī)模電子系統(tǒng)及 IP核模塊;軟 硬件IP核在電子行業(yè)的產(chǎn)業(yè)領域、技術領域和設計應用領域得到進一步確認; SoC高效低成本設計技術的成熟。隨著半導體技術、集成技術和計算機技術的 迅猛發(fā)展,電子系統(tǒng)的設計方法和設計手段都發(fā)生了很大的變化??梢哉f電子 EDA技術是電子設計領域的一場革命。傳統(tǒng)的“固定功能集成塊十連線”的設 計方法正逐步地退出歷史舞臺,而基于芯片的設計方法正成為現(xiàn)代電子系統(tǒng)設計的主流。作為高等院校有關專業(yè)的學生和廣大的電子工程師了解和攀握這一 先進技術是勢在必行,這不僅
5、是提高設計效率的需要,更是時代發(fā)展的需求, 只有攀握了 EDA技術才有能力參與世界電子工業(yè)市場的競爭,才能生存與發(fā) 展。隨著科技的進步,電子產(chǎn)品的更新日新月異,EDA技術作為電子產(chǎn)品開發(fā)研制的源動力,已成為現(xiàn)代電子設計的核心。所以發(fā)展EDA技術將是電子設計 領域和電子產(chǎn)業(yè)界的一場重大的技術革命,同時也對電類課程的教學和科研提 出了更深更高的要求。特別是EDA技術在我國尚未普及,掌握和普及這一全新 的技術,將對我國電子技術的發(fā)展具有深遠的意義。3第三章電子秒表的設計第三章電子秒表的設計3.1 電子秒表的模塊結(jié)構(gòu)圖電子秒表設計中主要如下幾個模塊分為時鐘模塊、電子秒表模塊、分頻模 塊、按鍵消抖模塊、
6、信號選擇輸出模塊和 BCD譯碼模塊。圖3.1電子秒表總模塊3.2 電子秒表單元電路設計3.2.1 分模塊及仿真波形圖分模塊如圖3.2所示f engfengrst f engshi3.0miaojin f engge3.0inst6圖3.2分模塊Fengrst:清零端口;miaojin :進位端口; fengshi【3.0】:十位輸出端口;fengge【3.0】:個位輸出端口。波形圖如圖3.3所示bl!阻H可。恒 肛口 肌W 1H011 3.11 G鵬0加皿立”仙 加站 機甘的加 印以 兆:VL J « il向 fn E -w1圖3.3 波形圖fengrst高電平有效,當miaojin
7、脈沖來的時候fenge開始計數(shù) 當fenge為9時產(chǎn)生一個進位信號fenshi開始計數(shù)。分程序代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity feng isport( fengrst,miaojin: in std_logic;fengshi,fengge :out std_logic_vector (3 downto 0 );end feng;architecture m1 of feng issignal clk :std_logic;signal fengshi1:std
8、_logic_vector (3 downto 0 );signal fengge1: std_logic_vector (3 downto 0 );beginprocess (clk,fengrst,miaojin)beginif fengrst='0' then clk<=miaojin;if fengrst='1' then fengshi1<="0000"fengge1<="0000"elsif clk'event and clk='1' thenfengge1<=f
9、engge1+'1'if fengge1="1001" thenfengshi1<=fengshi1+'1' fengge1<="0000"if fengshi1="0101" then fengshi1<="0000" fengge1<="0000"end if;end if;end if;end if;end process;fengshi <= fengshil;fengge <= fenggel; end ml;3.2.
10、2 秒模塊及仿真波形圖秒模塊如圖3.4所示Miaorst: 清零端口;haomiaojin: 毫秒進位端口 .; miaojin : 秒進位端口;miaoshi 3.01:秒十位輸出端口; fengge【3.0】:秒個位輸出端口。miaojinmiaomiaorsthaomiaojin miaoshi3.0miaoge3.0inst10圖3.4秒模塊波形圖如圖3.5所示miaorst高電平有效,當haomiaojin脈沖來的時候,miaoge開始計數(shù) 當miaoge為9時產(chǎn)生一個進位信號,miaoshishi開始計數(shù)。如,:外口|Hbnt0布吸t 三RU扁LI I II 001I D III
11、: I I I I口 :. 山口寸館師啊叫cna砂emkh7既 。*IV HUJ11 1 ' I '圖3.5 波形圖秒程序代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity miao isport( miaorst,haomiaojin: in std_logic; miaojin:out std_logic;miaoshi,miaoge :out std_logic_vector (3 downto 0 );end miao;architecture ml of
12、miao issignal clk :std_logic;signal miaoshi1:std_logic_vector (3 downto 0 );signal miaogel: std_logic_vector (3 downto 0 );beginprocess (clk,miaorst,haomiaojin)beginif miaorst='0' then clk<=haomiaojin;if miaorst='1' then miaoshi1<="0000"miaoge1<="0000"els
13、if clk'event and clk='1' thenmiaoge1<=miaoge1+'1'if miaoge1="1001" then miaoshi1<=miaoshi1+'1'miaoge1<="0000"if miaoshi1="0101" then miaoshi1<="0000"miaoge1<="0000" miaojin<='1'else miaojin<=
14、39;0'end if;end if;end if;end if;end process;miaoshi <= miaoshil;miaoge <= miaogel;end ml;3.2.3 毫秒模塊及仿真波形圖毫秒模塊如圖3.5所小Hanmiaorst:清零端口 ; clk:時鐘頻率端口 ; haomiaojin:進位端口 ; stop: 起停端;haomiaoshi【3.0】毫秒十位輸出端口; haomiaoge【3.0】:毫秒個位輸出 端口。haomiaohaomiaojinhaomiaoshi3.0haomiaoge3.0一 haomiaorstclk100stop
15、inst7圖3.6毫秒模塊波形圖如圖3.7所示haomiaorst高電平有效,當 haomiaojin脈沖來的時候,haomiaoge開始計數(shù)當haomiaoge為9時產(chǎn)生一個進位信號 ,fenshi開始計數(shù)。毫秒程序代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity haomiao isport( haomiaorst,clk100,stop: in std_logic;haomiaojin:out std_logic;haomiaoshi,haomiaoge :out std_
16、logic_vector (3 downto 0 ) );end haomiao;architecture m1 of haomiao issignal clk :std_logic;signal miaoshi1:std_logic_vector (3 downto 0 );signal miaoge1: std_logic_vector (3 downto 0 );beginprocess (clk,haomiaorst,clk100)beginif haomiaorst='0' then clk<=clk100;if haomiaorst='1' t
17、hen miaoshi1<="0000"miaoge1<="0000"elsif clk'event and clk='1' and stop='1' thenmiaoge1<=miaoge1+'1'if miaoge1="1001" thenmiaoshi1<=miaoshi1+'1'miaoge1<="0000"if miaoshi1="1001" then miaoshi1<=&qu
18、ot;0000"miaoge1<="0000" haomiaojin<='1'else haomiaojin<='0'end if;end if;end if;end if;end process; haomiaoshi <= miaoshil; haomiaoge <= miaogel;end ml;3.2.4 消抖模塊設計絕大多數(shù)按鍵都是機械式開關結(jié)構(gòu),由于機械式開關的核心部件為彈性金屬 簧片,因而在開關切換的瞬間會在接觸點出現(xiàn)來回彈跳的現(xiàn)象。雖然只是進行 了一次按鍵,結(jié)果在按鍵信號穩(wěn)定的前后出現(xiàn)了
19、多個脈沖,如果將這樣的信號 直接送給微處理器掃描采集的話,將可能把按鍵穩(wěn)定前后出現(xiàn)的脈沖信號當作 按鍵信號,這就出現(xiàn)人為的一次按鍵但微處理器以為多次按鍵現(xiàn)象。為了確保 按鍵識別的準確性,在按鍵信號抖動的情況下不能進入狀態(tài)輸入,為此就必須 對按鍵進行消抖處理,消除抖動時不穩(wěn)定、隨機的電壓信號。機械式按鍵的抖 動次數(shù)、抖動時間、抖動波形都是隨機的。不同類型的按鍵其最長抖動時間也 有差別,抖動時間的長短和按鍵的機械特性有關,一般為510 ms,但是,有些按鍵的抖動時間可達到20 ms,甚至更長。所以,在具體設計中要具體分析, 根據(jù)實際情況來調(diào)整設計。消抖模塊如圖3.8所示Clk:時鐘頻率端口;inp
20、ut:輸入端口;output:輸出端口。xiaodouclk output一inputinst8圖3.8消抖模塊消抖程序代碼:library ieee;use ieee.std_logic_1164.all;entity xiaodou isport(clk,input: in std_logic;output: out std_logic);end xiaodou;architecture m1 of xiaodou is signal cp : std_logic;signal count : integer range 0 to 3;beginprocess(clk)beginIf (c
21、lk'event and clk='1') thenif (input='1') thenif (count=3) then count<=count;else count<=count+1; end if;if(count=2) then cp<='1'elsecp<='0'end if;else count<=0;end if;end if;output<=cp;end process;end m1;3.2.5 分頻模塊設計f enpinhaorst clkout clkinst圖3
22、.9分頻模塊如圖3.9所示,haorst:清零端口,clk:時鐘輸入,clk:時鐘輸出 分頻程序代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport( clk: in std_logic;clkout: out std_logic);end fenpin;architecture ml of fenpin issignal miaoshi1:std_logic_vector(9 downto 0);signal miaoge1: std_logic_vec
23、tor(9 downto 0 );beginprocess (clk)beginif clk' event and clk='1' thenmiaoge1<=miaoge1+'1'if miaoge1="0000001001" thenmiaoshi1<=miaoshi1+'1'if miaoshi1="1111101000" and miaoge1="0000001001" thenmiaoshi1<="0000000000”;miaoge1<
24、="0000000000”;clkout<='1'else clkout<='0'end if;end if;end if;end process;end m1;3.3 電子秒表總模塊單元電路的程序結(jié)果編譯和仿真后,進行打包,建立頂層圖。如圖3.10所示,頂層圖由兩個消抖模塊、一個分頻模塊、分模塊、秒模塊和毫秒模塊組成131-gbM-LnTI二皆&四曷一-i 4-SJ-rAILLnnU星+-1菩 £:圖3.10電子秒表頂層圖 ,身td-一第四章電子秒表的調(diào)試第四章電子秒表的調(diào)試在仿真波形中,待程序設計輸入完畢以后,要進行程序的編譯,在對當前 個工程進行編譯處理前,必須做好必要的設置,對編譯加入一些約束,如果波 形沒有達到預先的效果,則要檢查程序錯在哪里,并加以改正,再對程序進行 仿真,如果波形達到預先的效果,則可以進行下一步,文件下載:檢查程序引 腳表(如下表)和模塊都正確,仿真也要正確的情況下,參照引腳表格(如下 表)在實驗箱上連接電路,并進行檢查,然后把文件下載到實驗箱,如果秒表 不能正常運行,則要檢查電路是否連接正確,看有什么缺漏。4.1 引腳綁定當電子秒表程序編輯完成,編譯正確的情況下
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 酒店大堂的安保措施介紹
- 旅游科普服務合同
- 藝術涂料施工協(xié)議
- 市政環(huán)衛(wèi)灑水車租賃合同
- 退休硬件工程師維護合同
- 租賃GPS車輛安全監(jiān)控系統(tǒng)合同
- 臨時檢驗員聘用合同模板
- 城市規(guī)劃光纖鋪設合同
- 古董家具修復噴漆協(xié)議
- 空調(diào)維修工程師聘用合同年薪制
- GB/T 13912-2020金屬覆蓋層鋼鐵制件熱浸鍍鋅層技術要求及試驗方法
- GB/T 11270.2-2021超硬磨料制品金剛石圓鋸片第2部分:燒結(jié)鋸片
- 植物生理學-植物的逆境生理
- 2017大專病理課件4局部血液循環(huán)障礙l
- 2023年考研英語(二)真題
- 小學英語人教新起點五年級上冊Unit3Animalsunit3storytime
- 乙醚MSDS危險化學品安全技術說明書
- 醫(yī)療質(zhì)量管理與持續(xù)改進工作記錄
- 幼兒園突發(fā)事件應急處置流程圖
- 小學《信息技術》考試試題及
- 檢傷分類課件
評論
0/150
提交評論