松下PLC的fp1_第1頁(yè)
松下PLC的fp1_第2頁(yè)
松下PLC的fp1_第3頁(yè)
松下PLC的fp1_第4頁(yè)
松下PLC的fp1_第5頁(yè)
已閱讀5頁(yè),還剩56頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、(10-1)第十章可編程序控制器 (PLC)(10-2)第十章第十章 可編程序控制器可編程序控制器 10.1 概述概述10.2 基本概念和編程語(yǔ)言簡(jiǎn)介基本概念和編程語(yǔ)言簡(jiǎn)介10.3 PLC指令及編程方法指令及編程方法10.4 應(yīng)用舉例應(yīng)用舉例(10-3)10.1.1 什么是什么是PLC ?PLC 是一種專(zhuān)門(mén)用于工業(yè)控制的計(jì)算機(jī)。是一種專(zhuān)門(mén)用于工業(yè)控制的計(jì)算機(jī)。 早期的早期的PLC是用來(lái)替代繼電器、接觸器控制的。是用來(lái)替代繼電器、接觸器控制的。它主要它主要 用于順序控制,只能實(shí)現(xiàn)邏輯運(yùn)算。因此,用于順序控制,只能實(shí)現(xiàn)邏輯運(yùn)算。因此,被稱(chēng)為可編程邏輯控制器被稱(chēng)為可編程邏輯控制器(Programma

2、ble logic controller,略寫(xiě)略寫(xiě) PLC ) 隨著電子技術(shù)、計(jì)算機(jī)技術(shù)的迅速發(fā)展,可編程隨著電子技術(shù)、計(jì)算機(jī)技術(shù)的迅速發(fā)展,可編程控制器的功能已遠(yuǎn)遠(yuǎn)超出了順序控制的范圍。被稱(chēng)控制器的功能已遠(yuǎn)遠(yuǎn)超出了順序控制的范圍。被稱(chēng)為為可編程控制器可編程控制器(Programmable controller,略寫(xiě)略寫(xiě)PC)。為區(qū)別于。為區(qū)別于Personal Computer (PC),故沿用,故沿用PLC 這個(gè)略寫(xiě)。這個(gè)略寫(xiě)。10.1 概述概述(10-4)中中央央處處理理單單元元存存儲(chǔ)儲(chǔ)器器數(shù)數(shù)據(jù)據(jù)存存儲(chǔ)儲(chǔ)器器輸輸出出接接口口地址總線(xiàn)地址總線(xiàn) 控制總線(xiàn)控制總線(xiàn)數(shù)據(jù)總線(xiàn)數(shù)據(jù)總線(xiàn)編程編程單元

3、單元照明照明電磁裝置電磁裝置執(zhí)行機(jī)構(gòu)執(zhí)行機(jī)構(gòu)。電源電源地址總線(xiàn)地址總線(xiàn)控制總線(xiàn)控制總線(xiàn)輸輸入入接接口口模擬量輸入模擬量輸入行程開(kāi)關(guān)行程開(kāi)關(guān)繼電器接點(diǎn)繼電器接點(diǎn)各種開(kāi)關(guān)各種開(kāi)關(guān)10.1.2 PLC的結(jié)構(gòu)和工作原理的結(jié)構(gòu)和工作原理一、一、 PLC結(jié)構(gòu)示意圖結(jié)構(gòu)示意圖(10-5)二、各組成部分的作用二、各組成部分的作用2. 存儲(chǔ)器存儲(chǔ)器1. CPU(1) 將各種輸入信號(hào)取入存儲(chǔ)器。將各種輸入信號(hào)取入存儲(chǔ)器。(2) 編譯、執(zhí)行指令。編譯、執(zhí)行指令。(3) 把結(jié)果送到輸出端。把結(jié)果送到輸出端。(4) 響應(yīng)各種外部設(shè)備的請(qǐng)求。響應(yīng)各種外部設(shè)備的請(qǐng)求。RAM:存儲(chǔ)各種暫存數(shù)據(jù)、中間結(jié)果、用戶(hù)正調(diào)存儲(chǔ)各種暫存

4、數(shù)據(jù)、中間結(jié)果、用戶(hù)正調(diào) 試的程序。試的程序。ROM:存放監(jiān)控程序和用戶(hù)已調(diào)試好的程序。存放監(jiān)控程序和用戶(hù)已調(diào)試好的程序。(10-6)3. 輸入、輸出接口:輸入、輸出接口:采用光電隔離,實(shí)現(xiàn)了采用光電隔離,實(shí)現(xiàn)了PLC的內(nèi)的內(nèi)部電路與外部電路的電氣隔離,減小了電磁干擾。部電路與外部電路的電氣隔離,減小了電磁干擾。輸出接口作用:輸出接口作用:將主機(jī)向外輸出的信號(hào)轉(zhuǎn)換成可將主機(jī)向外輸出的信號(hào)轉(zhuǎn)換成可以驅(qū)動(dòng)外部執(zhí)行電路的信號(hào),以便控制接觸以驅(qū)動(dòng)外部執(zhí)行電路的信號(hào),以便控制接觸器線(xiàn)圈等電器通斷電;另外輸出電路也使計(jì)器線(xiàn)圈等電器通斷電;另外輸出電路也使計(jì)算機(jī)與外部強(qiáng)電隔離。算機(jī)與外部強(qiáng)電隔離。輸出三種形

5、式:輸出三種形式:繼電器繼電器 - 低速大功率低速大功率 可控硅可控硅 - 高速大功率高速大功率 晶體管晶體管 - 高速小功率高速小功率輸入接口作用:輸入接口作用:將按鈕、行程開(kāi)關(guān)或傳感器等產(chǎn)將按鈕、行程開(kāi)關(guān)或傳感器等產(chǎn)生的信號(hào),轉(zhuǎn)換成數(shù)字信號(hào)送入主機(jī)。生的信號(hào),轉(zhuǎn)換成數(shù)字信號(hào)送入主機(jī)。(10-7)(1)輸入接口電路:)輸入接口電路:采用采用光電耦合器,防止強(qiáng)電干擾。光電耦合器,防止強(qiáng)電干擾。COM光電三極管光電三極管發(fā)光二極管發(fā)光二極管直流輸入直流輸入光光- -電器件電器件輸入端子輸入端子+內(nèi)內(nèi)部部電電路路3.3k Xn+24V1000PF470 (10-8)COMYn繼電器輸出繼電器輸出A

6、C250V/DC24V(max)(2)輸出接口電路:)輸出接口電路:均采用模塊式。均采用模塊式。以以繼電器形式為例:繼電器形式為例:內(nèi)內(nèi)部部電電路路內(nèi)內(nèi)部部電電路路JOUTL (10-9)4. 各種接口、高功能模塊:各種接口、高功能模塊:便于擴(kuò)展。便于擴(kuò)展。 小型機(jī):小型機(jī):一體機(jī)。有接口可擴(kuò)展。一體機(jī)。有接口可擴(kuò)展。 中、大型機(jī):中、大型機(jī):模塊式??筛鶕?jù)需要在主板上隨意組合模塊式??筛鶕?jù)需要在主板上隨意組合。PCFP1-C16小型機(jī)小型機(jī)(10-10)CPU POWER中、大型機(jī)中、大型機(jī)(10-11)編程設(shè)備可以是專(zhuān)用編程設(shè)備可以是專(zhuān)用的手持式的編程器;也可的手持式的編程器;也可以是安裝

7、了專(zhuān)門(mén)的編程通以是安裝了專(zhuān)門(mén)的編程通訊軟件的個(gè)人計(jì)算機(jī)。訊軟件的個(gè)人計(jì)算機(jī)。5. 編程設(shè)備編程設(shè)備用戶(hù)可以通過(guò)鍵盤(pán)輸用戶(hù)可以通過(guò)鍵盤(pán)輸入和調(diào)試程序;另外在運(yùn)入和調(diào)試程序;另外在運(yùn)行時(shí),還可以對(duì)整個(gè)控制行時(shí),還可以對(duì)整個(gè)控制過(guò)程進(jìn)行監(jiān)控。過(guò)程進(jìn)行監(jiān)控。PCFP PROGRAMMER(HELP)CLRWRTFN/PFLSTKIX/IYNOTDT/LdREADOTL WLORR WRANY WYSTX WXSRC(-)OP(BIN)K/HSCCTC EVTMT SVACLRENTBAFEDC 98 3 2 1 0 7 6 5 4(DELT)CLR手持式的編程器手持式的編程器(10-12)10.1.3

8、 工作方式工作方式微機(jī):微機(jī):等待命令。等待命令。PLC:循環(huán)掃描。循環(huán)掃描。CPU從第一條指令開(kāi)始執(zhí)行,遇到結(jié)束符又從第一條指令開(kāi)始執(zhí)行,遇到結(jié)束符又 返回第一條,不斷循環(huán)。返回第一條,不斷循環(huán)。一個(gè)掃描周期一個(gè)掃描周期 O刷新刷新 I刷新刷新執(zhí)行指令執(zhí)行指令I(lǐng)/O刷新刷新這種工作方式這種工作方式有什么好處?有什么好處?答:對(duì)慢速響應(yīng)系統(tǒng),答:對(duì)慢速響應(yīng)系統(tǒng),增強(qiáng)了抗干擾能力。增強(qiáng)了抗干擾能力。(10-13)1. 輸入輸入/輸出點(diǎn)數(shù)輸出點(diǎn)數(shù) ( I/O點(diǎn)數(shù)點(diǎn)數(shù) )。2. 掃描速度。掃描速度。 單位:?jiǎn)挝唬?ms /1000步步 或或 s /步步3. 內(nèi)存容量。內(nèi)存容量。4. 指令條數(shù)。指令條

9、數(shù)。5. 內(nèi)部寄存器數(shù)目。內(nèi)部寄存器數(shù)目。6. 高功能模塊。高功能模塊。 10.1.4 主要技術(shù)性能主要技術(shù)性能(10-14)1. 抗干擾、可靠性高??垢蓴_、可靠性高。2. 模塊化組合式結(jié)構(gòu),使用靈活方便。模塊化組合式結(jié)構(gòu),使用靈活方便。3. 編程簡(jiǎn)單,便于普及。編程簡(jiǎn)單,便于普及。4. 可進(jìn)行在線(xiàn)修改??蛇M(jìn)行在線(xiàn)修改。5. 網(wǎng)絡(luò)通訊功能,便于實(shí)現(xiàn)分散式測(cè)控系統(tǒng)。網(wǎng)絡(luò)通訊功能,便于實(shí)現(xiàn)分散式測(cè)控系統(tǒng)。6. 與傳統(tǒng)的控制方式比較,線(xiàn)路簡(jiǎn)單。與傳統(tǒng)的控制方式比較,線(xiàn)路簡(jiǎn)單。10.1.5 優(yōu)點(diǎn)優(yōu)點(diǎn)(10-15)1. 用于開(kāi)關(guān)邏輯控制。用于開(kāi)關(guān)邏輯控制。2. 用于機(jī)加工數(shù)字控制。用于機(jī)加工數(shù)字控制。3

10、. 用于閉環(huán)過(guò)程控制。用于閉環(huán)過(guò)程控制。4 用于組成多級(jí)控制系統(tǒng)。用于組成多級(jí)控制系統(tǒng)。10. 1. 6 應(yīng)用應(yīng)用(10-16)10.2 基本概念和編程語(yǔ)言簡(jiǎn)介基本概念和編程語(yǔ)言簡(jiǎn)介PLC的內(nèi)存除存放用戶(hù)和系統(tǒng)的程序外,還有四個(gè)區(qū):的內(nèi)存除存放用戶(hù)和系統(tǒng)的程序外,還有四個(gè)區(qū): I/O區(qū):區(qū):可直接與外部輸入、輸出端子傳遞信息可直接與外部輸入、輸出端子傳遞信息 內(nèi)部輔助寄存器區(qū):內(nèi)部輔助寄存器區(qū):存放中間變量存放中間變量 數(shù)據(jù)區(qū)數(shù)據(jù)區(qū):存放中間結(jié)果存放中間結(jié)果 專(zhuān)用寄存器區(qū):專(zhuān)用寄存器區(qū):定時(shí)時(shí)鐘、標(biāo)志、系統(tǒng)內(nèi)部的命令定時(shí)時(shí)鐘、標(biāo)志、系統(tǒng)內(nèi)部的命令10. 2. 1 寄存器和接點(diǎn)的概念寄存器和接點(diǎn)

11、的概念用戶(hù)在對(duì)這四個(gè)區(qū)進(jìn)行操作時(shí),可以以用戶(hù)在對(duì)這四個(gè)區(qū)進(jìn)行操作時(shí),可以以寄存器寄存器和和/或接點(diǎn)或接點(diǎn)的方式進(jìn)行。的方式進(jìn)行。(10-17)以以I/O區(qū)為例:區(qū)為例:寄存器寄存器是一個(gè)是一個(gè)16位二進(jìn)制單元,位二進(jìn)制單元,16位中的每一位是一個(gè)位中的每一位是一個(gè)接點(diǎn)接點(diǎn),對(duì)應(yīng)外部的一個(gè)輸入,對(duì)應(yīng)外部的一個(gè)輸入/輸出端子輸出端子。輸入寄存器輸入寄存器 WXm輸出寄存器輸出寄存器 WYm輸入端子輸入端子X(jué)mn輸出端子輸出端子Ymnm :十進(jìn)制數(shù),寄存器編號(hào):十進(jìn)制數(shù),寄存器編號(hào)n:16進(jìn)制數(shù)進(jìn)制數(shù)(0F),寄存器的第寄存器的第n n位位F E D C B A 9 8 7 6 5 4 3 2 1

12、0寄存器寄存器(10-18)例:例:若若X3 為為“ON”,則,則 WX0 的第三位為的第三位為 “1” 若若 WY1=7,則表明,則表明Y10、Y11、Y12三個(gè)接點(diǎn)三個(gè)接點(diǎn) “ON”P(pán)LC中有兩類(lèi)接點(diǎn):中有兩類(lèi)接點(diǎn):常開(kāi)接點(diǎn)常開(kāi)接點(diǎn)和和常閉接點(diǎn)。常閉接點(diǎn)。符號(hào)分別為:符號(hào)分別為:接點(diǎn)通斷情況與接點(diǎn)的賦值有關(guān):(以接點(diǎn)通斷情況與接點(diǎn)的賦值有關(guān):(以 X0X0為例:為例:若若 X0X0的邏輯賦值為的邏輯賦值為“1”1”,則,則X0X0接通接通斷開(kāi)斷開(kāi)(10-19)I/O區(qū):區(qū):可以以接點(diǎn)和寄存器的方式對(duì)其進(jìn)行操作??梢砸越狱c(diǎn)和寄存器的方式對(duì)其進(jìn)行操作。內(nèi)部輔助寄存器區(qū):內(nèi)部輔助寄存器區(qū):可以以

13、接點(diǎn)和寄存器的方式可以以接點(diǎn)和寄存器的方式 對(duì)其操作。(對(duì)其操作。( Rmn 、WRm)數(shù)據(jù)區(qū):數(shù)據(jù)區(qū):只能以寄存器的方式進(jìn)行操作。只能以寄存器的方式進(jìn)行操作。 (DTm)實(shí)際輸入端子:實(shí)際輸入端子:X0XF實(shí)際輸出端子:實(shí)際輸出端子:Y0Y7其他的其他的I/O區(qū)可作為輔助寄區(qū)可作為輔助寄存器用存器用。不同型號(hào)的不同型號(hào)的PLC,其內(nèi)存分配有,其內(nèi)存分配有所不同。所不同。 如:松下電工的如:松下電工的FP1-24 I 區(qū):區(qū):X0X12F (WX0WX12) O區(qū):區(qū):Y0Y12F (WY0WY12)(10-20)專(zhuān)用寄存器專(zhuān)用寄存器(FP1機(jī):機(jī):WR900WR903)。常用的如下:。常用的

14、如下:R900A : “”標(biāo)志標(biāo)志 R900B: “=”標(biāo)志標(biāo)志 R900C: “”標(biāo)志標(biāo)志 R9010: 常常ON繼電器繼電器 R9011: 常常OFF繼電器繼電器 R9013: 僅在第一個(gè)掃秒周期僅在第一個(gè)掃秒周期ON,其他時(shí)候均為,其他時(shí)候均為OFFR9014: 僅在第一個(gè)掃秒周期僅在第一個(gè)掃秒周期OFF,其他時(shí)候均為,其他時(shí)候均為ONR9018R901D: 周期分別為周期分別為 0.01s, 002s, 0.1s, 0.2, 1s, 2s的時(shí)鐘的時(shí)鐘 脈沖繼電器脈沖繼電器專(zhuān)用數(shù)據(jù)寄存器專(zhuān)用數(shù)據(jù)寄存器(FP1機(jī):機(jī): DT9000DT9069)設(shè)置日期時(shí)間、高速計(jì)數(shù)器、步進(jìn)等指令有關(guān)。設(shè)

15、置日期時(shí)間、高速計(jì)數(shù)器、步進(jìn)等指令有關(guān)。(10-21)指令表(助記符)語(yǔ)言指令表(助記符)語(yǔ)言梯形圖語(yǔ)言梯形圖語(yǔ)言流程圖語(yǔ)言流程圖語(yǔ)言布爾代數(shù)語(yǔ)言布爾代數(shù)語(yǔ)言常用常用助記符語(yǔ)言:助記符語(yǔ)言:類(lèi)似于微機(jī)中的匯編語(yǔ)言。類(lèi)似于微機(jī)中的匯編語(yǔ)言。梯形圖語(yǔ)言:梯形圖語(yǔ)言:沿襲了傳統(tǒng)的控制圖。直觀明了,易于掌握。沿襲了傳統(tǒng)的控制圖。直觀明了,易于掌握。10. 2. 2 編程語(yǔ)言編程語(yǔ)言一、一、PLC 的編程語(yǔ)言有:的編程語(yǔ)言有:(10-22)二、梯形圖的規(guī)則:二、梯形圖的規(guī)則:(1)梯形圖的左邊為起始母線(xiàn),右邊為結(jié)束母線(xiàn)。)梯形圖的左邊為起始母線(xiàn),右邊為結(jié)束母線(xiàn)。 梯形圖按從左到右、從上到下的順序書(shū)寫(xiě)。梯

16、形圖按從左到右、從上到下的順序書(shū)寫(xiě)。(2)梯形圖中的接點(diǎn)(對(duì)應(yīng)觸頭)有兩種:)梯形圖中的接點(diǎn)(對(duì)應(yīng)觸頭)有兩種:常開(kāi)(常開(kāi)( ) 和和 常閉(常閉( )(3)輸出用)輸出用 表示,表示, 如如 - R0、-Y0 。一個(gè)。一個(gè) 輸出變量只能輸出一次。輸出前面必須有接點(diǎn)。輸出變量只能輸出一次。輸出前面必須有接點(diǎn)。(4)梯形圖中,接點(diǎn)可串可并,但輸出只能并不能串。)梯形圖中,接點(diǎn)可串可并,但輸出只能并不能串。(5)程序結(jié)束時(shí)有結(jié)束符)程序結(jié)束時(shí)有結(jié)束符 -(ED)。)。(10-23)10.3 PLC指令及編程方法指令及編程方法各個(gè)廠(chǎng)家生產(chǎn)的各個(gè)廠(chǎng)家生產(chǎn)的 PLC 產(chǎn)品的指令系統(tǒng)大同小異。編產(chǎn)品的指令

17、系統(tǒng)大同小異。編程方法也類(lèi)似。程方法也類(lèi)似。以下介紹以松下電工的以下介紹以松下電工的PLC產(chǎn)品為例。產(chǎn)品為例。10. 3. 1 指令的分類(lèi)指令的分類(lèi) 鍵盤(pán)指令、非鍵盤(pán)指令、高級(jí)指令鍵盤(pán)指令、非鍵盤(pán)指令、高級(jí)指令一、按編程器輸入指令的方式分類(lèi)一、按編程器輸入指令的方式分類(lèi)(10-24)PCFP PROGRAMMER(HELP)CLRWRTFN/PFLSTKIX/IYNOTDT/LdREADOTL WLORR WRANY WYSTX WXSRC(-)OP(BIN)K/HSCCTC EVTMT SVACLRENTBAFEDC 98 3 2 1 0 7 6 5 4(DELT)CLR鍵盤(pán)指令:鍵盤(pán)指令:

18、可從鍵盤(pán)上可從鍵盤(pán)上直接鍵入的指令直接鍵入的指令擴(kuò)展功能指令:擴(kuò)展功能指令:用用F鍵加功鍵加功能號(hào)方可鍵入的指令。能號(hào)方可鍵入的指令。非鍵盤(pán)指令:非鍵盤(pán)指令:用指令代碼方可用指令代碼方可輸入的指令。輸入的指令。SCSC指令指令代碼代碼(10-25) 基本指令基本指令 數(shù)據(jù)傳送指令數(shù)據(jù)傳送指令 算術(shù)運(yùn)算指令算術(shù)運(yùn)算指令 位移指令位移指令 位操作指令位操作指令 數(shù)據(jù)變換指令數(shù)據(jù)變換指令 轉(zhuǎn)移控制指令轉(zhuǎn)移控制指令 特殊控制指令特殊控制指令二二. 按指令的功能分類(lèi)按指令的功能分類(lèi)按指令的功能可分為:按指令的功能可分為:(10-26)ST:(Start) 從母線(xiàn)開(kāi)始一個(gè)新邏輯行時(shí),或開(kāi)始一個(gè)邏輯塊時(shí),從

19、母線(xiàn)開(kāi)始一個(gè)新邏輯行時(shí),或開(kāi)始一個(gè)邏輯塊時(shí), 輸入的第一條指令。輸入的第一條指令。 ST:以常開(kāi)接點(diǎn)開(kāi)始:以常開(kāi)接點(diǎn)開(kāi)始 ST/:以常閉接點(diǎn)開(kāi)始:以常閉接點(diǎn)開(kāi)始OT:(Output) 表示輸出一個(gè)變量。表示輸出一個(gè)變量。ED:(End)表示程序無(wú)條件結(jié)束。表示程序無(wú)條件結(jié)束。CNED:(Condition end)程序有條件結(jié)束。程序有條件結(jié)束。NOP:(No-operation) 空操作指令??詹僮髦噶?。 10. 3. 2 基本指令基本指令(10-27)邏輯關(guān)系邏輯關(guān)系 梯形圖梯形圖 助記符助記符Y0X0 X1STX0ANX1OTY0STX0ORX1OTY0ST / X0OTY0與與或或非非

20、ANDORNOT當(dāng)當(dāng) X0 與與 X1 都都 “ON” 時(shí),時(shí),則輸出則輸出 Y0 “ON”。當(dāng)當(dāng) X0 或或 X1 “ON” 時(shí),時(shí),則輸出則輸出 Y0 “ON”。當(dāng)當(dāng) X0 “OFF” 時(shí),時(shí),則輸出則輸出 Y0 “ON”。Y0X0X1Y0X0(10-28)注意:注意:與、或、非運(yùn)算均是對(duì)從該指令前面的與、或、非運(yùn)算均是對(duì)從該指令前面的STST 指令到該指令的前一個(gè)指令處的結(jié)果進(jìn)行指令到該指令的前一個(gè)指令處的結(jié)果進(jìn)行 運(yùn)算。運(yùn)算。AX2是與圖中是與圖中A點(diǎn)處的結(jié)果(即點(diǎn)處的結(jié)果(即X0與與X1的結(jié)果)的結(jié)果)相或,而不是與相或,而不是與X1相或。相或。Y0X0X2X1STX0ANX1ORX

21、2OTY0例:例:(10-29)邏輯關(guān)系邏輯關(guān)系 梯形圖梯形圖 助記符助記符STX0ORX1STX2ORX3ANSOTY0STX0ANX1STX2AN / X3ORSOTY0當(dāng)當(dāng) “X0 或或 X1”與與“X2 或或X3” 都都 “ON” 時(shí),時(shí),則輸出則輸出 Y0 “ON”。區(qū)塊與區(qū)塊與AND STACK區(qū)塊或區(qū)塊或OR STACK當(dāng)當(dāng) “X0 與與 X1”或或“X2 與與X3非非” “ON” 時(shí),則輸時(shí),則輸出出 Y0 “ON”。Y0X0X1X2X3Y0X0X2X1X3(10-30)PSHS, RDS,POPS (棧指令)Y0X0Y1R30X2X1X2ST X0PSHSAN X2OT Y0

22、RDSAN X1OT Y1POPSAN/ X2OT R30功能解釋功能解釋PSHS (Push Stack) : 將結(jié)果存入堆棧將結(jié)果存入堆棧RDS (Read Stack):從堆棧讀數(shù)從堆棧讀數(shù)POPS (Pop Stack):從堆棧讀數(shù)并清空堆棧從堆棧讀數(shù)并清空堆棧(10-31)DF, DF/:微分指令微分指令(DF)R30(DF/)R31X0X1ST X0DFOT R30ST X1DF/OT R31X0接通瞬間(上升沿),接通瞬間(上升沿),R30接點(diǎn)接通一個(gè)掃描周期。接點(diǎn)接通一個(gè)掃描周期。X1斷開(kāi)瞬間(下降沿),斷開(kāi)瞬間(下降沿),R31接點(diǎn)接通一個(gè)掃描周期。接點(diǎn)接通一個(gè)掃描周期。功能

23、解釋指令使用當(dāng)只需要信號(hào)的上升或下降沿時(shí)使用。例:?jiǎn)?dòng)或停當(dāng)只需要信號(hào)的上升或下降沿時(shí)使用。例:?jiǎn)?dòng)或停車(chē)按鈕信號(hào)。車(chē)按鈕信號(hào)。(10-32)例例1:直接啟動(dòng)停車(chē)控制直接啟動(dòng)停車(chē)控制控制電路圖控制電路圖SB1SB2KMKMI/O分配:分配: X0:?jiǎn)?dòng):?jiǎn)?dòng) X1:停車(chē):停車(chē) Y0:KM常開(kāi)接點(diǎn)常開(kāi)接點(diǎn)常閉按鈕常閉按鈕Why?X1X0COMY0COMKMSB2SB1PLC外部接線(xiàn)圖外部接線(xiàn)圖(ED)Y0X1Y0X0程序:程序:(10-33)KMRM3A B CKMFFUQSKHKMFSB1KMFSBFKHKMRKMRSBRKMRKMFY0X0X2X1Y1X0Y1Y0Y0Y1I/O分配:分配:

24、STX0 SSF X1 SSR X2 KMF Y0 KMR Y1例例2:三相異步電動(dòng)機(jī)的正反轉(zhuǎn)控制三相異步電動(dòng)機(jī)的正反轉(zhuǎn)控制(10-34)Y0X0X2X1Y1X0Y1Y0Y0Y1Y0X0X2X1Y1X0Y1Y0Y0Y1STX1ORY0AN / X0AN / Y1OTY1STX2ORY1AN / X0AN / Y0OTY0ED(10-35)編程中應(yīng)注意的幾個(gè)問(wèn)題編程中應(yīng)注意的幾個(gè)問(wèn)題(ED)X0Y0X1Y0(ED)X1Y0X0Y0一、用電路變換簡(jiǎn)化程序一、用電路變換簡(jiǎn)化程序(減少指令的條數(shù))減少指令的條數(shù))(10-36)二、邏輯關(guān)系應(yīng)盡量清楚二、邏輯關(guān)系應(yīng)盡量清楚(避免左輕右重避免左輕右重)X3

25、X2X5X4X6X8X7Y0X9(ED)X3X2X5X4X6X8X7Y0X9(ED)X5 X6X2X2(10-37)三、避免出現(xiàn)無(wú)法編程的梯形圖三、避免出現(xiàn)無(wú)法編程的梯形圖X5(ED)X1X3X2Y1X4Y2X1(ED)X3X2Y1X5X3X1X4Y2X5(10-38)KP:(Keep)置位信號(hào):例置位信號(hào):例X0復(fù)位信號(hào):例復(fù)位信號(hào):例X1KP R0ST X0ST X1KP R0說(shuō)明:說(shuō)明:(1)在置位信號(hào)接通的瞬間,)在置位信號(hào)接通的瞬間,R0置置1。以后無(wú)論置位。以后無(wú)論置位 信號(hào)狀態(tài)如何,只要復(fù)位信號(hào)斷開(kāi),信號(hào)狀態(tài)如何,只要復(fù)位信號(hào)斷開(kāi),R0的狀態(tài)的狀態(tài) 均為均為1。(2)在復(fù)位信號(hào)接

26、通的瞬間,)在復(fù)位信號(hào)接通的瞬間,R0置置0。(3)在復(fù)位信號(hào)和置位信號(hào)同時(shí)接通時(shí),復(fù)位優(yōu)先。)在復(fù)位信號(hào)和置位信號(hào)同時(shí)接通時(shí),復(fù)位優(yōu)先。(10-39)SET、 RST(Reset)這兩條指令的功能類(lèi)似于這兩條指令的功能類(lèi)似于KP指令,但使用比指令,但使用比KP指令靈活。指令靈活。例:例:X0R20ST X0SET Y0ST R20RST R30(10-40)TM:(定時(shí)指令)(定時(shí)指令)XTn輸入接點(diǎn)輸入接點(diǎn)定時(shí)器號(hào)碼定時(shí)器號(hào)碼(FP1:099)時(shí)間常數(shù)時(shí)間常數(shù):132767類(lèi)型類(lèi)型R:時(shí)鐘為:時(shí)鐘為0.01秒秒X:時(shí)鐘為:時(shí)鐘為0.1秒秒Y:時(shí)鐘為:時(shí)鐘為1秒秒(1)時(shí)間常數(shù)與類(lèi)型一起確定

27、了定時(shí)的時(shí)間。)時(shí)間常數(shù)與類(lèi)型一起確定了定時(shí)的時(shí)間。(2)定時(shí)器為減計(jì)數(shù)。當(dāng)輸入接點(diǎn)接通時(shí),每來(lái)一個(gè)時(shí))定時(shí)器為減計(jì)數(shù)。當(dāng)輸入接點(diǎn)接通時(shí),每來(lái)一個(gè)時(shí) 鐘脈沖減鐘脈沖減1,直到減為,直到減為0。這時(shí),定時(shí)器的常開(kāi)接點(diǎn)。這時(shí),定時(shí)器的常開(kāi)接點(diǎn) 閉合,常閉接點(diǎn)斷開(kāi)。當(dāng)輸入接點(diǎn)斷開(kāi)時(shí),定時(shí)器閉合,常閉接點(diǎn)斷開(kāi)。當(dāng)輸入接點(diǎn)斷開(kāi)時(shí),定時(shí)器 復(fù)位。復(fù)位。說(shuō)明:說(shuō)明:(10-41)動(dòng)作說(shuō)明:動(dòng)作說(shuō)明:當(dāng)當(dāng)Y0閉合后,定時(shí)器閉合后,定時(shí)器TM5開(kāi)始計(jì)時(shí)。經(jīng)過(guò)開(kāi)始計(jì)時(shí)。經(jīng)過(guò)300.1=3s后,后,Y1閉合,閉合,Y2斷開(kāi)。斷開(kāi)。Y0TX K 305Y1T5Y2T5ST Y0TMX 5K 30ST T5OT Y1S

28、T/ T5OT Y2例:例:定時(shí)器應(yīng)用舉例定時(shí)器應(yīng)用舉例(10-42)與定時(shí)器有關(guān)的兩個(gè)寄存器:與定時(shí)器有關(guān)的兩個(gè)寄存器:EVn 和和SVn (n (n為寄存器編號(hào)為寄存器編號(hào), ,該編號(hào)與定時(shí)器編號(hào)對(duì)應(yīng)該編號(hào)與定時(shí)器編號(hào)對(duì)應(yīng)) )EVn:存儲(chǔ)定時(shí)器:存儲(chǔ)定時(shí)器TMn的過(guò)程值。的過(guò)程值。SVn:存儲(chǔ)定時(shí)器:存儲(chǔ)定時(shí)器TMn的設(shè)置值。的設(shè)置值。(10-43)CTn計(jì)數(shù)器初始值:計(jì)數(shù)器初始值:132767計(jì)數(shù)器編號(hào)計(jì)數(shù)器編號(hào)(FP1機(jī):機(jī):100143)計(jì)數(shù)脈沖計(jì)數(shù)脈沖復(fù)位信號(hào)復(fù)位信號(hào)(1)復(fù)位信號(hào)接通時(shí),計(jì)數(shù)器復(fù)位,裝入初始值。)復(fù)位信號(hào)接通時(shí),計(jì)數(shù)器復(fù)位,裝入初始值。(2)復(fù)位信號(hào)斷開(kāi)時(shí),每來(lái)

29、一個(gè)計(jì)數(shù)脈沖減)復(fù)位信號(hào)斷開(kāi)時(shí),每來(lái)一個(gè)計(jì)數(shù)脈沖減1,直,直 到減為到減為0,計(jì)數(shù)器的常開(kāi)接點(diǎn)接通,常閉接點(diǎn),計(jì)數(shù)器的常開(kāi)接點(diǎn)接通,常閉接點(diǎn) 斷開(kāi)。斷開(kāi)。CT:(計(jì)數(shù)器)(計(jì)數(shù)器)說(shuō)明:說(shuō)明:(10-44)與計(jì)數(shù)器有關(guān)的兩個(gè)寄存器:與計(jì)數(shù)器有關(guān)的兩個(gè)寄存器:EVn 和和SVn (n (n為寄存器編號(hào)為寄存器編號(hào), ,該編號(hào)與計(jì)數(shù)器編號(hào)對(duì)應(yīng)該編號(hào)與計(jì)數(shù)器編號(hào)對(duì)應(yīng)) )EVn:存儲(chǔ)計(jì)數(shù)器:存儲(chǔ)計(jì)數(shù)器 CTn 的過(guò)程值。的過(guò)程值。SVn:存儲(chǔ)計(jì)數(shù)器:存儲(chǔ)計(jì)數(shù)器 CTn 的設(shè)置值。的設(shè)置值。(10-45)UDC:(可逆計(jì)數(shù))(可逆計(jì)數(shù))F118 UDC S D加減計(jì)數(shù)信號(hào)加減計(jì)數(shù)信號(hào)例:設(shè)為例:設(shè)為

30、X0計(jì)數(shù)脈沖計(jì)數(shù)脈沖例:設(shè)為例:設(shè)為X1復(fù)位信號(hào):復(fù)位信號(hào):例:設(shè)為例:設(shè)為X2初值或存放初值或存放初值的寄存器初值的寄存器作為加減計(jì)數(shù)作為加減計(jì)數(shù)器的寄存器器的寄存器ST X0ST X1ST X2F118(UDC) S DIYDSIXDTEVSVWRWYWX常數(shù)常數(shù) (10-46)一、一、 數(shù)據(jù)傳送指令數(shù)據(jù)傳送指令F0(MV) 、F1(MV/)F0 MV S D功能說(shuō)明:功能說(shuō)明:S為常數(shù)或寄存器,為常數(shù)或寄存器,D 為寄為寄存器。當(dāng)條件滿(mǎn)足時(shí),存器。當(dāng)條件滿(mǎn)足時(shí),S或或S的內(nèi)容傳的內(nèi)容傳送到送到D。F0(MV):把把 S 或或 S 的內(nèi)容傳送到的內(nèi)容傳送到D。 F1(MV/):把把 S 或

31、或 S 的內(nèi)容求反后傳送到的內(nèi)容求反后傳送到D。10. 3. 3 其他常用指令簡(jiǎn)介其他常用指令簡(jiǎn)介(10-47)其他傳送指令常用的有:其他傳送指令常用的有:F10(BKMV), F11(COPY)等等。等等。例:例:某控制控制系統(tǒng),若出現(xiàn)嚴(yán)重故某控制控制系統(tǒng),若出現(xiàn)嚴(yán)重故障信號(hào),則所有的動(dòng)作全停,故障指障信號(hào),則所有的動(dòng)作全停,故障指示顯示示顯示。F0 MV K1 WY0X0I/O分配:分配: X0:故障信號(hào):故障信號(hào) Y0:故障指示:故障指示 其他輸出端:其他輸出端:Y1YF(10-48)二、二、 算術(shù)指令算術(shù)指令F60(CMP) F60 CMP EV0 K100R10Y0R10 R900A

32、Y1R10 R900BY2R10 R900C其它的算術(shù)運(yùn)算指令,如算術(shù)運(yùn)算、其它的算術(shù)運(yùn)算指令,如算術(shù)運(yùn)算、BCD碼運(yùn)算、比較碼運(yùn)算、比較指令等等。指令等等。功能說(shuō)明:當(dāng)功能說(shuō)明:當(dāng)R10接通時(shí),接通時(shí),比較比較EV0和和100,若若EV0100,則,則Y0接通;若接通;若EV0=100,則,則Y1接通;接通;若若EV0100,則,則Y2接通。接通。(10-49)例:例:設(shè)計(jì)一個(gè)順序控制電路設(shè)計(jì)一個(gè)順序控制電路:啟動(dòng)時(shí),電機(jī)啟動(dòng)時(shí),電機(jī)D1先啟動(dòng),先啟動(dòng),3s后電機(jī)后電機(jī)D2啟動(dòng),再過(guò)啟動(dòng),再過(guò)5s后電機(jī)后電機(jī)D3啟動(dòng)。啟動(dòng)。I/O分配:分配:X0:?jiǎn)?dòng)按鈕:?jiǎn)?dòng)按鈕X1:停車(chē)按鈕:停車(chē)按鈕Y

33、0:電機(jī):電機(jī)D1Y1:電機(jī):電機(jī)D2Y2:電機(jī):電機(jī)D3Y1(DF)TX K 300TX K 501(ED)Y0X0Y0T0X1Y0Y2T1Y1方案一方案一(10-50)(DF)TX K 300TX K 501(ED)Y0X0Y0T0X1Y0Y2T1Y1Y1方案一方案一方案二方案二(DF)TX K 800(ED)Y0X0Y0R900CX1Y0Y2T0F60 CMP EV0 K50R9010Y1(10-51)三、三、 移位指令移位指令(1) 左移指令左移指令 SR INCPCLRSR WRn(1)SR指令只能對(duì)指令只能對(duì)WR型寄存器進(jìn)行移位。型寄存器進(jìn)行移位。(2)IN:串行輸入端(補(bǔ)位),接

34、點(diǎn)通,補(bǔ):串行輸入端(補(bǔ)位),接點(diǎn)通,補(bǔ)1, 接點(diǎn)斷,補(bǔ)接點(diǎn)斷,補(bǔ)0。(3)CP:移位脈沖:移位脈沖(4)CLR:復(fù)位清零。:復(fù)位清零。INOUT說(shuō)明:說(shuō)明:(10-52)210534SW2SW3SW1MV次品次品正品正品SW1:SW1:檢測(cè)有無(wú)次品檢測(cè)有無(wú)次品SW2:SW2:檢測(cè)凸輪的突起檢測(cè)凸輪的突起SW3:SW3:檢測(cè)有無(wú)次品落下檢測(cè)有無(wú)次品落下SW1,SW2,SW3SW1,SW2,SW3為光電傳感器為光電傳感器: :凸輪每轉(zhuǎn)一圈發(fā)出一個(gè)脈沖,且每個(gè)凸輪每轉(zhuǎn)一圈發(fā)出一個(gè)脈沖,且每個(gè)脈沖過(guò)一個(gè)物品。當(dāng)次品移到脈沖過(guò)一個(gè)物品。當(dāng)次品移到4號(hào)位時(shí),號(hào)位時(shí),電磁閥電磁閥MV打開(kāi),次品落入次品箱內(nèi)

35、。打開(kāi),次品落入次品箱內(nèi)。無(wú)次品則自動(dòng)掉入正品箱內(nèi)。有一復(fù)無(wú)次品則自動(dòng)掉入正品箱內(nèi)。有一復(fù)位按鈕位按鈕SB實(shí)現(xiàn)手動(dòng)復(fù)位。實(shí)現(xiàn)手動(dòng)復(fù)位。I/O分配:分配:X0: SW1X1: SW2X2: SW3X3: SBY0: MV例:例:(10-53)I/O分配:分配:X0: SW1 檢測(cè)有無(wú)次品檢測(cè)有無(wú)次品X1: SW2 檢測(cè)凸輪的突起檢測(cè)凸輪的突起X2: SW3 檢測(cè)有無(wú)次品落下檢測(cè)有無(wú)次品落下X3: SB 復(fù)位復(fù)位Y0: MVX1X0R4X3X2(ED)SR WR 0KP Y0 RF RE RD RC RB RA R 9 R 8 R7 R6 R5 R4 R3 R2 R1 R0IN無(wú)次品時(shí)該位無(wú)次品時(shí)該位總為總為0(10-54)(2) 雙向移位指令雙向移位指令 LRSR DIR INCLR CPF119 LRSR D1 D2DIR:移位方向。:移位方向。 ON:左移左移 OFF:右移右移IN:串行輸入端:串行輸入端CP:移位脈沖:移位脈沖CLR:復(fù)位清零:復(fù)位清零說(shuō)明:說(shuō)明:D1,D2為移位區(qū)的首址和末址。可使用為移位區(qū)的首址和末址。可使用的的 寄存器有:寄存器有: WY, WR, SV, EV, DT(10-55)例一:沖壓機(jī)控制程序例一:

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論