基于單片機(jī)的樓道內(nèi)聲控?zé)艏皥?bào)警系統(tǒng)_第1頁(yè)
基于單片機(jī)的樓道內(nèi)聲控?zé)艏皥?bào)警系統(tǒng)_第2頁(yè)
基于單片機(jī)的樓道內(nèi)聲控?zé)艏皥?bào)警系統(tǒng)_第3頁(yè)
基于單片機(jī)的樓道內(nèi)聲控?zé)艏皥?bào)警系統(tǒng)_第4頁(yè)
基于單片機(jī)的樓道內(nèi)聲控?zé)艏皥?bào)警系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩32頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、摘 要本課題設(shè)計(jì)一款基于單片機(jī)的樓道內(nèi)聲控?zé)艏皥?bào)警系統(tǒng),主要由聲控?zé)艉鸵馔饩o急報(bào)警兩部分組成。聲控?zé)舨糠质峭ㄟ^(guò)聲音傳感器進(jìn)行聲音檢測(cè),然后經(jīng)單片機(jī)進(jìn)行處理,實(shí)現(xiàn)燈的開(kāi)關(guān)智能控制。報(bào)警部分主要由蜂鳴器和按鍵組成,當(dāng)聲控?zé)舫霈F(xiàn)故障時(shí),通過(guò)觸動(dòng)按鍵實(shí)現(xiàn)蜂鳴器報(bào)警進(jìn)行維修提示。硬件電路包括單片機(jī)最小系統(tǒng)電路、聲音傳感器檢測(cè)模塊、按鍵模塊、LED顯示模塊、蜂鳴器報(bào)警電路模塊;軟件部分主要通過(guò)C程序的編程實(shí)現(xiàn)等燈的亮滅,然后通過(guò)發(fā)光二極管顯示出來(lái),通過(guò)按鍵操作實(shí)現(xiàn)報(bào)警功能。設(shè)計(jì)中結(jié)合硬件、軟件的分步調(diào)試,達(dá)到要求的控制效果。當(dāng)有人走過(guò)樓梯通道,發(fā)出腳步聲或其它聲音時(shí),樓道燈會(huì)自動(dòng)點(diǎn)亮,提供照明。當(dāng)人們進(jìn)入

2、家門(mén)或走出公寓,樓道燈延時(shí)幾分鐘后會(huì)自動(dòng)熄滅。當(dāng)出現(xiàn)故障時(shí),可觸動(dòng)按鈕,進(jìn)行報(bào)警維修。聲控延時(shí)開(kāi)關(guān)不僅適用于住宅區(qū)的樓道,而且也適用于工廠、辦公樓、教學(xué)樓等公共場(chǎng)所,它具有體積小、外形美觀、制作容易、工作可靠等優(yōu)點(diǎn)。關(guān)鍵詞:單片機(jī);聲控?zé)簦粓?bào)警系統(tǒng);聲音傳感器;蜂鳴器 AbstractThis project is based on single-chip design a voice-activated light and alarm system in the building, by voice-activated lights and emergency alarm which two

3、 key components.Voice-activated light partly through sound sensors for sound detection, and then single-chip processing, realization of intelligent control for the light switch.Alarm part consists mainly of beeper and keys, when voice-activated lights fail, through touches the pressed key realizatio

4、n light buzzer alarms for maintenance tips.The hardware circuit consists of single chip microcomputer minimum system circuit, sound sensors module, keys module, LED display module, a buzzer alarm circuit module;Software part mainly accomplished by programming of C programs such as destroy the light

5、of lights, and then through the led display,Alarm functions are realized by key operation.In the design of combination of hardware and software debugging step by step, meet the requirements of control effect.When people walk through the stairs, when making footsteps or other sound, stair lights will

6、 automatically light up and lighting.When people enter the House or get out of the apartment, corridor lamp delay automatically turns off after a few minutes.When a failure occurs, you can touch a button and alarm servicing.Voice-activated inertia switch applies not only to the residential area of t

7、he building, but also to factories, office buildings, school buildings and other public places, it is of small size, pleasing in appearance, making easy, reliable and so on.Keywords:microcontroller; voice-activated light and alarm system; sound sensors; buzzer 目 錄 第1章 概述11.1 選題的目的11.2 聲控?zé)舻难芯勘尘凹鞍l(fā)展意義1

8、1.3 本章小結(jié)2第2章 總體方案設(shè)計(jì)32.1系統(tǒng)設(shè)計(jì)的思路32.2 系統(tǒng)硬件描述32.3 系統(tǒng)軟件描述32.4 本章小結(jié)4第3章 硬件的設(shè)計(jì)53.1 硬件芯片介紹53.2系統(tǒng)硬件架構(gòu)123.3 原理圖的繪制163.4 本章小結(jié)18第4章 系統(tǒng)軟件設(shè)計(jì)194.1 Keil C51開(kāi)發(fā)環(huán)境簡(jiǎn)介194.2 程序的編寫(xiě)224.3 主程序流程圖264.4 本章小結(jié)27第5章 實(shí)物焊接與調(diào)試285.1 實(shí)物焊接285.2 調(diào)試硬件28結(jié) 論30參考文獻(xiàn)31致 謝32附 錄33 東北石油大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)第1章 概述1.1 選題的目的聲控?zé)舻闹谱髂康氖峭ㄟ^(guò)聲控?zé)舻闹谱鳎岣邔W(xué)習(xí)電路知識(shí)的興趣及提高

9、電子電路的理論知識(shí)及較強(qiáng)的實(shí)踐能力;對(duì)電路器件的選型及電路形式的選擇有一定的了解;學(xué)習(xí)晶體管電路的基本設(shè)計(jì)能力及基本調(diào)試能力;能夠正確使用實(shí)驗(yàn)儀器進(jìn)行電路的調(diào)試并掌握元器件的測(cè)試方法;使用適當(dāng)?shù)能浖M(jìn)行仿真和制作PCB板圖,掌握自己制作印制電路板的方法,鍛煉實(shí)際動(dòng)手操作能力。 1.2 聲控?zé)舻难芯勘尘凹鞍l(fā)展意義1.2.1聲控?zé)粞芯勘尘半S著社會(huì)的發(fā)展,國(guó)家“十一五”規(guī)劃把節(jié)能和環(huán)保作為兩個(gè)主題,而且國(guó)家節(jié)能中長(zhǎng)期專(zhuān)項(xiàng)規(guī)劃明確提出照明用電占全國(guó)電量的13%,可見(jiàn)照明節(jié)能顯得非常重要。這就要求更加節(jié)能和環(huán)保的聲控延時(shí)開(kāi)關(guān)照明燈的出現(xiàn),以滿(mǎn)足人們對(duì)高質(zhì)量生活的要求。當(dāng)今世界在以電子信息技術(shù)為前提下推動(dòng)

10、社會(huì)跨越式的進(jìn)步,科學(xué)技術(shù)的飛速發(fā)展日新月異帶動(dòng)了各國(guó)生產(chǎn)力的大規(guī)模提高。由此可見(jiàn)科技已成為各國(guó)競(jìng)爭(zhēng)的核心,尤其是電子信息技術(shù)更顯得極為重要,在國(guó)民生產(chǎn)各部門(mén)電子信息技術(shù)得到了廣泛的應(yīng)用。只要在一定范圍內(nèi)有聲音發(fā)出且達(dá)到一定響度電路就會(huì)導(dǎo)通工作,又由延時(shí)部件控制其工作時(shí)間。該電路的設(shè)計(jì)較完備,在電能節(jié)約方面處理的較好,但該電路也存在一定的缺陷,如果要使燈只在燈光昏暗的時(shí)候亮則該電路無(wú)法實(shí)現(xiàn),為此要對(duì)該電路進(jìn)行升級(jí),所謂升級(jí)就是對(duì)電路的功能進(jìn)行進(jìn)一步完善。我們可以為其添加一些硬件如光敏電阻,使在不影響電路正常智能化實(shí)現(xiàn)的前提下,電路能受人為所控制以至更好的為人們服務(wù)。發(fā)展半導(dǎo)體照明對(duì)節(jié)能、環(huán)保和

11、建設(shè)節(jié)約型社會(huì)都有重要的戰(zhàn)略意義,正逐漸成為人們的共識(shí),世界各國(guó)均加大投入,將LED通用照明作為未來(lái)國(guó)家能源戰(zhàn)略的重點(diǎn)。我國(guó)也把半導(dǎo)體照明作為一個(gè)重大工程進(jìn)行推動(dòng)。LED(半導(dǎo)體發(fā)光二極管)是目前各國(guó)科學(xué)家和照明領(lǐng)域技術(shù)工作者公認(rèn)的一種最節(jié)能、環(huán)保的新型光源,具有優(yōu)越的經(jīng)濟(jì)效益和社會(huì)效益,它的應(yīng)用前景非常廣闊。1.2.2 聲控?zé)舻陌l(fā)展意義目前,我國(guó)半導(dǎo)體照明產(chǎn)業(yè)發(fā)展形勢(shì)看好,外延芯片企業(yè)的發(fā)展尤其迅速、封裝企業(yè)規(guī)模繼續(xù)保持較快增長(zhǎng)、照明應(yīng)用取得較大進(jìn)展。在產(chǎn)業(yè)規(guī)模迅速增長(zhǎng)的同時(shí),國(guó)內(nèi)產(chǎn)業(yè)結(jié)構(gòu)也有了較大提升,中高端產(chǎn)品份額逐步增加,如顯示屏芯片、SMD和大功率封裝產(chǎn)品、路燈等照明產(chǎn)品都有明顯進(jìn)步

12、。2007年我國(guó)LED封裝產(chǎn)值達(dá)到168億元,較2006年的148億元增長(zhǎng)15%;產(chǎn)量則由2006年的660億只增加24%,達(dá)到820億只,其中高亮LED產(chǎn)值達(dá)到120億元,占LED總銷(xiāo)售額的71%。同時(shí)從產(chǎn)品和企業(yè)結(jié)構(gòu)來(lái)看國(guó)內(nèi)也有較大改善,SMD和大功率LED封裝增長(zhǎng)較快,目前全國(guó)大功率封裝產(chǎn)能已達(dá)到10K/月左右。目前,我國(guó)上游產(chǎn)業(yè)參與單位多,但與國(guó)際先進(jìn)水平比較,技術(shù)差距大,能滿(mǎn)足市場(chǎng)需要且規(guī)模化生產(chǎn)的企業(yè)少,封裝所需芯片尤其高檔芯片主要靠進(jìn)口。中游封裝產(chǎn)業(yè)從上個(gè)世紀(jì)六七十年代開(kāi)始發(fā)展,一個(gè)LED的綜合質(zhì)量是由芯片質(zhì)量和封裝質(zhì)量所決定的,二者各占50%的比重.因此,中游的封裝技術(shù)在整個(gè)L

13、ED產(chǎn)業(yè)中占據(jù)重要地位。而我國(guó)目前在LED中游產(chǎn)業(yè)技術(shù)上和國(guó)外差距不大,但規(guī)模與國(guó)外大公司比,差距較大。傳統(tǒng)引線型LED封裝技術(shù)已相對(duì)成熟,但新型LED包括Chip LED、Top LED、Power LED的封裝剛剛起步,仍面臨一些設(shè)備和技術(shù)問(wèn)題需要克服。我國(guó)的優(yōu)勢(shì)在于應(yīng)用技術(shù)產(chǎn)品開(kāi)發(fā)創(chuàng)新能力走在全球前例,與產(chǎn)業(yè)配套的能力強(qiáng),市場(chǎng)已啟動(dòng)且潛力巨大。LED產(chǎn)業(yè)在我國(guó)已初具規(guī)模,已初步形成從外延片生產(chǎn)、芯片制造、器件封裝到集成應(yīng)用比較完整的產(chǎn)業(yè)鏈。但目前大多是低端產(chǎn)品,高端產(chǎn)品方面國(guó)內(nèi)的研發(fā)和產(chǎn)業(yè)水平與國(guó)外相比有一定的差距,因此國(guó)內(nèi)LED產(chǎn)業(yè)的發(fā)展重心,就是強(qiáng)化可持續(xù)發(fā)展的、以企業(yè)為主體的產(chǎn)業(yè)創(chuàng)

14、新研發(fā)能力和以政府、企業(yè)聯(lián)動(dòng)的產(chǎn)業(yè)創(chuàng)新平臺(tái)。在中國(guó)國(guó)家產(chǎn)業(yè)扶持、臺(tái)商加入及國(guó)際巨頭三方推進(jìn)下,中國(guó)的上海、大連、南昌、廈門(mén)、深圳這5大LED產(chǎn)業(yè)基地產(chǎn)能有了全面提升,中國(guó)已成為繼日本、臺(tái)灣、韓國(guó)之后又一個(gè)國(guó)際LED產(chǎn)業(yè)基地。1.3 本章小結(jié)聲控電路有著廣泛的應(yīng)用。該照明燈在有突發(fā)聲響(如拍手聲、腳步聲等)出現(xiàn)時(shí)即可點(diǎn)亮,延時(shí)點(diǎn)亮一段時(shí)間后又能自動(dòng)熄滅,可做夜間起身照明用。又比如樓道照明等一般都是由人工操作的,如果采用聲控電路,根據(jù)有無(wú)聲音來(lái)自動(dòng)開(kāi)啟和關(guān)閉照明燈,做到無(wú)人自動(dòng)控制,可以減輕工人的勞動(dòng)強(qiáng)度,有效的節(jié)約能源。使用這種照明電路,人們就不必在黑暗中摸索開(kāi)關(guān),也不必再擔(dān)心點(diǎn)長(zhǎng)明燈費(fèi)電和損壞

15、燈泡了。只要有腳步聲或其它較強(qiáng)的聲響時(shí),燈便自動(dòng)點(diǎn)亮,延時(shí)一定時(shí)間后自動(dòng)熄滅。特別適用自動(dòng)控制路燈照明以及走廊和樓道等處的短時(shí)照明。 34東北石油大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)第2章 總體方案設(shè)計(jì)2.1系統(tǒng)設(shè)計(jì)的思路本次設(shè)計(jì)是通過(guò)單片機(jī)控制聲控?zé)艏皥?bào)警功能的實(shí)現(xiàn)。聲控?zé)舨糠质峭ㄟ^(guò)聲音傳感器進(jìn)行聲音檢測(cè),然后經(jīng)STC89C52單片機(jī)進(jìn)行處理,實(shí)現(xiàn)燈的開(kāi)關(guān)智能控制。報(bào)警部分主要由蜂鳴器和按鍵組成,當(dāng)聲控?zé)舫霈F(xiàn)故障時(shí),通過(guò)觸動(dòng)按鍵實(shí)現(xiàn)蜂鳴器報(bào)警進(jìn)行維修提示。硬件電路包括單片機(jī)最小系統(tǒng)電路、聲音傳感器檢測(cè)模塊、按鍵模塊、LED顯示模塊、蜂鳴器報(bào)警電路模塊;軟件部分主要通過(guò)C程序的編程實(shí)現(xiàn)等燈的亮滅,然后通

16、過(guò)發(fā)光二極管顯示出來(lái),通過(guò)按鍵操作實(shí)現(xiàn)報(bào)警功能。設(shè)計(jì)中結(jié)合硬件、軟件的分步調(diào)試,達(dá)到要求的控制效果。2.2 系統(tǒng)硬件描述基于單片機(jī)系統(tǒng)的聲控?zé)艏皥?bào)警基本結(jié)構(gòu)框圖如圖2-1所示:圖2-1 系統(tǒng)設(shè)計(jì)框架該系統(tǒng)所需要的器件包括單片機(jī)STC89C52芯片一塊,LM393比較器一個(gè),聲音傳感器一塊,發(fā)光二級(jí)管LED若干,滑動(dòng)變阻器一個(gè),蜂鳴器一個(gè),12mHZ的晶振一個(gè),排針排線若干組,電容電阻若干,導(dǎo)線若干,極性電容一個(gè),三極管兩個(gè),按鈕2個(gè)。2.3 系統(tǒng)軟件描述系統(tǒng)程序?qū)崿F(xiàn)兩部分功能:聲控?zé)舨糠謱?shí)現(xiàn)聲音信號(hào)的有無(wú)控制發(fā)光二極管的亮滅功能;報(bào)警部分實(shí)現(xiàn)按鍵控制蜂鳴器報(bào)警。整體功能程序流程框圖如圖2-2所

17、示: 圖2-2 整體功能流程框圖2.4 本章小結(jié)該設(shè)計(jì)的主要流程如下:首先閱讀大量參考文獻(xiàn),進(jìn)行設(shè)計(jì)方案的確定,然后在Protel 99SE上進(jìn)行原理圖的繪制和修改,在電氣檢查無(wú)誤的情況下,購(gòu)買(mǎi)所需要的元器件(元器件應(yīng)考慮裕量)。接著把元器件焊接到各個(gè)功能電路的模塊上,并結(jié)合程序進(jìn)行調(diào)試。最后將各個(gè)功能的電路程序組合起來(lái),然后再進(jìn)行總體調(diào)試直到成功。 東北石油大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)第3章 硬件的設(shè)計(jì)3.1 硬件芯片介紹3.1.1 單片機(jī)STC89C521. STC89C52功能特點(diǎn)STC89C52是一種帶8K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的低電壓,高性能COMOS8的微處理器,俗稱(chēng)單片機(jī)。

18、該器件采用ATMEL搞密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。表3-1給出了其主要功能。表3-1 STC89C52主要功能主要功能特性兼容MCS51指令系統(tǒng)8K可反復(fù)擦寫(xiě)Flash ROM32個(gè)雙向I/O口256x8bit內(nèi)部RAM3個(gè)16位可編程定時(shí)/計(jì)數(shù)器中斷時(shí)鐘頻率0-24MHz2個(gè)串行中斷可編程UART串行通道2個(gè)外部中斷源共6個(gè)中斷源2個(gè)讀寫(xiě)中斷口線3級(jí)加密位低功耗空閑和掉電模式軟件設(shè)置睡眠和喚醒功能2.STC89C52各管腳介紹STC89C52各管腳如圖3-1所示。圖3-1 STC89C52管腳圖(1) 主電源引腳(2根) VCC(Pin40)

19、:電源輸入,接5V電源GND(Pin20):接地線(2)外接晶振引腳(2根)XTAL0(Pin18):片內(nèi)振蕩電路的輸入端XTAL1(Pin19):片內(nèi)振蕩電路的輸出端(3)控制引腳(4根)RST/VPP(Pin9):復(fù)位引腳,引腳上出現(xiàn)2個(gè)機(jī)器周期的高電平將使單片機(jī)復(fù)位。ALE/PROG(Pin30):地址鎖存允許信號(hào)PSEN(Pin29):外部存儲(chǔ)器讀選通信號(hào)EA/VPP(Pin31):程序存儲(chǔ)器的內(nèi)外部選通,接低電平從外部程序存儲(chǔ)器讀指令,如果接高電平則從內(nèi)部程序存儲(chǔ)器讀指令。(4)可編程輸入/輸出引腳(32根)STC89C52單片機(jī)有4組8位的可編程I/O口,分別位P0、P1、P2、P

20、3口,每個(gè)口有8位(8根引腳),共32根。P0口(Pin39Pin32):名稱(chēng)為P0.0P0.7。P0口是一個(gè)8位漏極開(kāi)路的雙向I/O口。作為輸出口,每位能驅(qū)動(dòng)8個(gè)TTL邏輯電平(晶體管-晶體管邏輯電平)。P1口(Pin1Pin8):名稱(chēng)為P1.0P1.7。P1 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,p1 輸出緩沖器能驅(qū)動(dòng)4 個(gè) TTL 邏輯電平。對(duì)P1 端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。此外,P1.0和P1.2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(P1.0/T2)和時(shí)器/計(jì)數(shù)器2

21、 的觸發(fā)輸入(P1.1/T2EX),具體如下所示。 在flash編程和校驗(yàn)時(shí),P1口接收低8位地址字節(jié)。P1引腳第二功能:P1.0 :T2(定時(shí)器/計(jì)數(shù)器T2的外部計(jì)數(shù)輸入),時(shí)鐘輸出P1.1 :T2EX(定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號(hào)和方向控制)P1.5: MOSI(在線系統(tǒng)編程時(shí)用到)P1.6 :MISO(在線系統(tǒng)編程時(shí)用到)P1.7 :SCK(在線系統(tǒng)編程時(shí)用到)P2口(Pin21Pin28):名稱(chēng)為P2.0P2.7。P2 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,P2 輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL 邏輯電平。對(duì)P2 端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口

22、使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。在訪問(wèn)外部程序存儲(chǔ)器或用16位地址讀取外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行MOVX DPTR)時(shí),P2 口送出高八位地址。在這種應(yīng)用中,P2 口使用很強(qiáng)的內(nèi)部上拉發(fā)送1。在使用 8位地址(如MOVX RI)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口輸出P2鎖存器的內(nèi)容。在flash編程和校驗(yàn)時(shí),P2口也接收高8位地址字節(jié)和一些控制信號(hào)。P3口(Pin10Pin17):8位準(zhǔn)雙向I/O口線,名稱(chēng)為P3.0P3.7。P3 口是一個(gè)具有內(nèi)部上拉電阻的8 位雙向I/O 口,p2 輸出緩沖器能驅(qū)動(dòng)4 個(gè) TTL 邏輯電平。對(duì)P3 端口寫(xiě)“1”時(shí),內(nèi)部

23、上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。 P3口亦作為STC89C52特殊功能(第二功能)使用,如下表所示。在flash編程和校驗(yàn)時(shí),P3口也接收一些控制信號(hào)。端口引腳第二功能:P3.0 RXD(串行輸入口)P3.1 TXD(串行輸出口)P3.2 INTO(外中斷0)P3.3 INT1(外中斷1)P3.4 TO(定時(shí)/計(jì)數(shù)器0)P3.5 T1(定時(shí)/計(jì)數(shù)器1)P3.6 WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P3.7 RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)此外,P3口還接收一些用于FLASH閃存編程和程序校驗(yàn)的控制信號(hào)。RST復(fù)位輸入。當(dāng)

24、振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將是單片機(jī)復(fù)位。ALE/PROG當(dāng)訪問(wèn)外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ALE(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。一般情況下,ALE仍以時(shí)鐘振蕩頻率的1/6輸出固定的脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過(guò)一個(gè)ALE脈沖。 對(duì)FLASH存儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖(PROG)。如有必要,可通過(guò)對(duì)特殊功能寄存器(SFR)區(qū)中的8EH單元的D0位置位,可禁止ALE操作。該位置位后,只有一條MOVX和MOVC指令才能將ALE激活。此外,該引腳會(huì)被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)

25、置ALE禁止位無(wú)效。PSEN程序儲(chǔ)存允許(PSEN)輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)STC89C52由外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖,在此期間,當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器,將跳過(guò)兩次PSEN信號(hào)。EA/VPP外部訪問(wèn)允許,欲使CPU僅訪問(wèn)外部程序存儲(chǔ)器(地址為0000H-FFFFH),EA端必須保持低電平(接地)。需注意的是:如果加密位LB1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存EA端狀態(tài)。如EA端為高電平(接Vcc端),CPU則執(zhí)行內(nèi)部程序存儲(chǔ)器的指令。FLASH存儲(chǔ)器編程時(shí),該引腳加上+12V的編程允許電源Vpp,當(dāng)然這必須是該器件是使用12V編程電壓Vpp

26、。3.1.2 駐極體傳聲器1.咪頭的定義: 咪頭又名麥克風(fēng),話筒,傳聲器,咪膽等。咪頭是一個(gè)聲-電轉(zhuǎn)換器件(也可以稱(chēng)為換能器或傳感器),是和喇叭正好相反的一個(gè)器件(電聲)。是聲音設(shè)備的兩個(gè)終端,咪頭是輸入,喇叭是輸出。ECM(Electret Condenser Microphone)駐極體電容式麥克風(fēng)的簡(jiǎn)稱(chēng)。2.咪頭的分類(lèi):從工作原理上分:炭精粒式,電磁式,電容式,駐極體電容式(以下介紹以駐極體式為主),壓電晶體式,壓電陶瓷式,二氧化硅式等。 從尺寸大小分,駐極體式又可分為若干種。9.7系列產(chǎn)品 、8系列產(chǎn)品 、6系列產(chǎn)品 、4.5系列產(chǎn)品、 4系列產(chǎn)品 、3系列產(chǎn)品每個(gè)系列中又有不同的高度

27、。 從咪頭的方向性,可分為全向(無(wú)向),單向,雙向(又稱(chēng)為消噪式)。 從極化方式上分為振膜式,背極式,前極式。從結(jié)構(gòu)上分又可以分為柵極點(diǎn)焊式,柵極壓接式,極環(huán)連接式等。 從對(duì)外連接方式分為普通焊點(diǎn)式L型,帶PIN腳式P型,同心圓式S/A型。 3.駐極體傳聲器的結(jié)構(gòu) 以全向MIC振膜式極環(huán)連接式為例。如圖3-2所示: 圖3-2 MIC振膜式駐極體傳聲器結(jié)構(gòu)圖防塵網(wǎng):保護(hù)咪頭,防止灰塵落到振膜上,防止外部物體刺破振膜,還有短時(shí)間的防水作用。 外殼:整個(gè)咪頭的支撐件,其它件封裝在外殼之中,是傳聲器的接地點(diǎn),還可以起到電磁屏蔽的作用。振膜是一個(gè)聲-電轉(zhuǎn)換的主要零件,是一個(gè)繃緊的特氟瓏塑料薄膜(聚氯乙烯

28、)粘在一個(gè)金屬薄圓環(huán)上,薄膜與金屬環(huán)接觸的一面鍍有一層很薄的金屬層,薄膜可以充有電荷,也是組成一個(gè)可變電容的一個(gè)電極板,而且是可以振動(dòng)的極板。 杜邦膜:FEP、PTFE、PFA、PET等,F(xiàn)EP是美國(guó)杜邦公司生產(chǎn)的一種特氟瓏薄膜叫聚全氯乙丙烯,在駐極體傳聲器方面,主要用于電荷的存貯,因?yàn)閮?nèi)部有很多的勢(shì)阱。PPS膜:是一種不能存貯電荷的薄膜叫聚苯硫醚,在駐極體傳聲器方面,主要用于背極式和前極式的振動(dòng)膜片。墊片:支撐電容兩極板之間的距離,留有間隙,為振膜振動(dòng)提供一個(gè)空間,從而改變電容量。 背極板:電容的另一個(gè)電極,并且連接到了FET(場(chǎng)效應(yīng)管)的G(柵)極上。 銅環(huán):連接極板與FET(場(chǎng)效應(yīng)管)的

29、G(柵)極,并且起到支撐作用。 腔體:固定極板和極環(huán),從而防止極板和極環(huán)對(duì)外殼短路(FET(場(chǎng)效應(yīng)管)的S(源極),G(柵)極短路)。PCB組件:裝有FET,電容等器件,同時(shí)也起到固定其它件的作用。 PIN:有的傳聲器在PCB上帶有PIN(腳),可以通過(guò)PIN與其他PCB焊接在一起,起連接另外前極式,背極式在結(jié)構(gòu)上也略有不同。4.駐極體咪頭的工作原理: 由靜電學(xué)可知,對(duì)于平行板電容器,有如下的關(guān)系式:C=S/L 即電容的容量與介質(zhì)的介電常數(shù)成正比,與兩個(gè)極板的面積成正比,與兩個(gè)極板之間的距離成反比。另外,當(dāng)一個(gè)電容器充有Q量的電荷,那么電容器兩個(gè)極板要形成一定的電壓,有如下關(guān)系式:C=Q/V

30、對(duì)于一個(gè)駐極體咪頭,內(nèi)部存在一個(gè)由振膜,墊片和極板組成的電容器,因?yàn)槟て铣溆须姾桑⑶沂且粋€(gè)塑料膜,因此當(dāng)膜片受到聲壓強(qiáng)的作用,膜片要產(chǎn)生振動(dòng),從而改變了膜片與極板之間的距離,從而改變了電容器兩個(gè)極板之間的距離,產(chǎn)生了一個(gè)d的變化,因此由公式可知,必然要產(chǎn)生一個(gè)C的變化,由公式又知,由于C的變化,充電電荷又是固定不變的,因此必然產(chǎn)生一個(gè)V的變化。這樣初步完成了一個(gè)由聲信號(hào)到電信號(hào)的轉(zhuǎn)換。 由于這個(gè)信號(hào)非常微弱,內(nèi)阻非常高,不能直接使用,因此還要進(jìn)行阻抗變換和放大。FET場(chǎng)效應(yīng)管是一個(gè)電壓控制元件,漏極的輸出電流受源極與柵極電壓的控制。由于電容器的兩個(gè)極是接到FET的S極和G極的,因此相當(dāng)于F

31、ET的S極與G極之間加了一個(gè)v的變化量,F(xiàn)ET的漏極電流I就產(chǎn)生一個(gè)ID的變化量,因此這個(gè)電流的變化量就在電阻RL上產(chǎn)生一個(gè)VD的變化量,這個(gè)電壓的變化量就可以通過(guò)電容C0輸出,這個(gè)電壓的變化量是由聲壓引起的,因此整個(gè)咪頭就完成了一個(gè)聲電的轉(zhuǎn)換過(guò)程4。 3.1.3 電壓比較器LM393 LM393集成塊內(nèi)部裝有四個(gè)獨(dú)立的電壓比較器,該電壓比較器的特點(diǎn)是:1)失調(diào)電壓小,典型值為2mV;2)電源電壓范圍寬,單電源為2-36V,雙電源電壓為1V-18V;3)對(duì)比較信號(hào)源的內(nèi)阻限制較寬;4)共模范圍很大,為0(Ucc-1.5V)Vo;5)差動(dòng)輸入電壓范圍較大,大到可以等于電源電壓;6)輸出端電位可靈

32、活方便地選用,集電極開(kāi)路輸出,后面要加上拉電阻。LM393集成塊采用C-14型封裝,圖3-3為外型及管腳排列圖。由于LM393使用靈活,應(yīng)用廣泛,所以世界上各大IC生產(chǎn)廠、公司竟相推出自己的四比較器,如IR2339、ANI339、SF339,LM2901、LM393(兩路的)等,它們的參數(shù)基本一致,可互換使用。 a) 外型 b)管腳排列圖3-3 LM393結(jié)構(gòu)圖LM393類(lèi)似于增益不可調(diào)的運(yùn)算放大器。每個(gè)比較器有兩個(gè)輸入端和一個(gè)輸出端。兩個(gè)輸入端一個(gè)稱(chēng)為同相輸入端,用“+”表示,另一個(gè)稱(chēng)為反相輸入端,用“-”表示。用作比較兩個(gè)電壓時(shí),任意一個(gè)輸入端加一個(gè)固定電壓做參考電壓(也稱(chēng)為門(mén)限電平,它可

33、選擇LM393輸入共模范圍(不超過(guò)電源電壓的任意一點(diǎn))的任何一點(diǎn)),另一端加一個(gè)待比較的信號(hào)電壓。當(dāng)“+”端電壓高于“-”端時(shí),輸出管截止,相當(dāng)于輸出端開(kāi)路(輸出高電平)。當(dāng)“-”端電壓高于“+”端時(shí),輸出管飽和,相當(dāng)于輸出端接低電位。兩個(gè)輸入端電壓差別大于10mV就能確保輸出能從一種狀態(tài)可靠地轉(zhuǎn)換到另一種狀態(tài),因此,把LM393用在弱信號(hào)檢測(cè)等場(chǎng)合是比較理想的。LM393的輸出端相當(dāng)于一只不接集電極電阻的晶體三極管,在使用時(shí)輸出端到正電源一般須接一只電阻(稱(chēng)為上拉電阻,選3-15K)。選不同阻值的上拉電阻會(huì)影響輸出端高電位的值。因?yàn)楫?dāng)輸出晶體三極管截止時(shí),它的集電極電壓基本上取決于上拉電阻與

34、負(fù)載的值。3.1.4 蜂鳴器1.蜂鳴器的介紹蜂鳴器的分類(lèi):蜂鳴器主要分為壓電式蜂鳴器和電磁式蜂鳴器兩種類(lèi)型。 蜂鳴器的電路圖形符號(hào):蜂鳴器在電路中用字母“H”或“HA”(舊標(biāo)準(zhǔn)用“FM”、“LB”、“JD”等)表示。 2.蜂鳴器的結(jié)構(gòu)原理壓電式蜂鳴器主要由多諧振蕩器、壓電蜂鳴片、阻抗匹配器及共鳴箱、外殼等組成。有的壓電式蜂鳴器外殼上還裝有發(fā)光二極管。多諧振蕩器由晶體管或集成電路構(gòu)成。當(dāng)接通電源后(1.515V直流工作電壓),多諧振蕩器起振,輸出1.52.5kHZ的音頻信號(hào),阻抗匹配器推動(dòng)壓電蜂鳴片發(fā)聲。 壓電蜂鳴片由鋯鈦酸鉛或鈮鎂酸鉛壓電陶瓷材料制成。在陶瓷片的兩面鍍上銀電極,經(jīng)極化和老化處理

35、后,再與黃銅片或不銹鋼片粘在一起。 電磁式蜂鳴器由振蕩器、電磁線圈、磁鐵、振動(dòng)膜片及外殼等組成。接通電源后,振蕩器產(chǎn)生的音頻信號(hào)電流通過(guò)電磁線圈,使電磁線圈產(chǎn)生磁場(chǎng)。振動(dòng)膜片在電磁線圈和磁鐵的相互作用下,周期性地振動(dòng)發(fā)聲。 3.有源蜂鳴器和無(wú)源蜂鳴器區(qū)分有源蜂鳴器和無(wú)源蜂鳴器 現(xiàn)在市場(chǎng)上出售的一種小型蜂鳴器因其體積小(直徑只有11 mm)、重量輕、價(jià)格低、結(jié)構(gòu)牢靠,而廣泛地應(yīng)用在各種需要發(fā)聲的電器設(shè)備、電子制作和單片機(jī)等電路中。有源蜂鳴器和無(wú)源蜂鳴器的外觀如圖3-4所示。 基于單片機(jī)的傾角測(cè)量?jī)x以STC89C52單片機(jī)作為中心控制系統(tǒng),組成一個(gè)包括角度感應(yīng),液晶顯示,串口通信,蜂鳴器報(bào)警等子系

36、統(tǒng)的角度測(cè)量系統(tǒng)。a)有源 b)無(wú)源 圖3-4 有源和無(wú)源蜂鳴器外觀從圖3-5外觀上看,兩種蜂鳴器好像一樣,但仔細(xì)看,兩者的高度略有區(qū)別,有源蜂鳴器a),高度為9mm,而無(wú)源蜂鳴器b)的高度為8mm。如將兩種蜂鳴器的引腳郡朝上放置時(shí),可以看出有綠色電路板的一種是無(wú)源蜂鳴器,沒(méi)有電路板而用黑膠封閉的一種是有源蜂鳴器。 進(jìn)一步判斷有源蜂鳴器和無(wú)源蜂鳴器,還可以用萬(wàn)用表電阻檔Rxl檔測(cè)試:用黑表筆接蜂鳴器“+”引腳,紅表筆在另一引腳上來(lái)回碰觸,如果觸發(fā)出咔、咔聲的且電阻只有8(或16)的是無(wú)源蜂鳴器;如果能發(fā)出持續(xù)聲音的,且電阻在幾百歐以上的,是有源蜂鳴器。 有源蜂鳴器直接接上額定電源(新的蜂鳴器在

37、標(biāo)簽上都有注明)就可連續(xù)發(fā)聲;而無(wú)源蜂鳴器則和電磁揚(yáng)聲器一樣,需要接在音頻輸出電路中才能發(fā)聲。 4.蜂鳴器驅(qū)動(dòng)電路由于蜂鳴器的工作電流一般比較大,以致于單片機(jī)的I/O 口是無(wú)法直接驅(qū)動(dòng)的,所以要利用放大電路來(lái)驅(qū)動(dòng),一般使用三極管來(lái)放大電流就可以了。圖3-5 蜂鳴器驅(qū)動(dòng)電路圖3.2系統(tǒng)硬件架構(gòu)本設(shè)計(jì)以模塊化的方式來(lái)進(jìn)行硬件電路的設(shè)計(jì)和調(diào)試。單片機(jī)的模塊化就是把系統(tǒng)分成各個(gè)具有獨(dú)立功能又可以互相銜接的簡(jiǎn)單模塊,將復(fù)雜難懂的指令、語(yǔ)法、編程及其電路分解,使設(shè)計(jì)簡(jiǎn)單化。本設(shè)計(jì)的電路模塊可以分為單片機(jī)最小系統(tǒng)模塊,檢測(cè)模塊,LED顯示模塊,按鍵模塊,蜂鳴器報(bào)警模塊。3.2.1 單片機(jī)最小系統(tǒng)本設(shè)計(jì)的單片

38、機(jī)最小系統(tǒng)主要包括STC89C52芯片,晶振電路和復(fù)位電路。1. 晶振電路最小系統(tǒng)晶振電路如圖3-6所示。圖3-6 晶振電路圖STC89C52內(nèi)部有一個(gè)用于構(gòu)成振蕩器的高增益反相放大器,引腳XTAL0和XTAL1分別是此放大器的輸入端和輸出端。時(shí)鐘可以由內(nèi)部方式產(chǎn)生或外部方式產(chǎn)生。內(nèi)部方式的時(shí)鐘電路如圖3-7所示,在XTAL0和XTAL1引腳上外接定時(shí)元件,內(nèi)部振蕩器就產(chǎn)生自激振蕩。定時(shí)元件通常采用石英晶體和電容組成的并聯(lián)諧振回路。晶體振蕩頻率可以在1.212MHz之間選擇,電容值在530pF之間選擇,電容值的大小可對(duì)頻率起微調(diào)的作用。單片機(jī)晶振兩個(gè)電容的作用:這兩個(gè)電容叫晶振的負(fù)載電容,分別

39、接在晶振的兩個(gè)腳上和對(duì)地的電容,一般在幾十pf。它會(huì)影響到晶振的諧振頻率和輸出幅度。晶振的負(fù)載電容=(Cd*Cg)/(Cd+Cg)+Cic+C式中Cd,Cg為分別接在晶振的兩個(gè)腳上和對(duì)地的電容,Cic(集成電路內(nèi)部電容)+C(PCB上電容)經(jīng)驗(yàn)值為3至5pf。2.復(fù)位電路最小系統(tǒng)復(fù)位電路如圖3-7所示。 圖3-7 復(fù)位電路圖無(wú)論使用哪種類(lèi)型的單片機(jī),總要涉及到單片機(jī)復(fù)位電路的設(shè)計(jì)而單片機(jī)復(fù)位電路設(shè)計(jì)的好壞,直接影響到整個(gè)系統(tǒng)工作的可靠性許多用戶(hù)在設(shè)計(jì)完單片機(jī)系統(tǒng),并在實(shí)驗(yàn)室調(diào)試成功后,在現(xiàn)場(chǎng)卻出現(xiàn)了“死機(jī)”、“程序走飛”等現(xiàn)象,這主要是單片機(jī)的復(fù)位電路設(shè)計(jì)不可靠引起的。復(fù)位電路的基本功能是:系

40、統(tǒng)上電時(shí)提供復(fù)位信號(hào),直至系統(tǒng)電源穩(wěn)定后,撤銷(xiāo)復(fù)位信號(hào)。為可靠起見(jiàn),電源穩(wěn)定后還要經(jīng)過(guò)一定的延時(shí)才撤銷(xiāo)復(fù)位信號(hào),以防電源開(kāi)關(guān)或電源插頭分-合過(guò)程中引起的抖動(dòng)而影響復(fù)位。單片機(jī)復(fù)位電路參數(shù)的選定須在振蕩穩(wěn)定后保證復(fù)位高電平持續(xù)時(shí)間大于2個(gè)機(jī)器周期。單片機(jī)復(fù)位電路主要有四種類(lèi)型:微分型復(fù)位電路;積分型復(fù)位電路;比較器型復(fù)位電路;看門(mén)狗型復(fù)位電路。3. 最小系統(tǒng)整體圖最小系統(tǒng)整體電路如圖3-8所示。圖3-8 最小系統(tǒng)整體圖3.2.2 檢測(cè)模塊檢測(cè)模塊的解法有點(diǎn)復(fù)雜,駐極體傳聲器通過(guò)與一個(gè)上拉電阻和一個(gè)電容相連,把駐極體傳聲器檢測(cè)的聲音信號(hào)轉(zhuǎn)換成電壓信號(hào),電容上的電壓加在三極管的基極,構(gòu)成一個(gè)基本的運(yùn)

41、算放大電路,三極管將信號(hào)放大,加在比較器的正極輸入端。比較器將正極輸入端的電壓和負(fù)極輸入端的電壓進(jìn)行比較,當(dāng)比較器的正極輸入端電壓小于負(fù)極輸入端電壓時(shí),比較器輸出一個(gè)低電平,當(dāng)比較器的正極輸入端電壓大于負(fù)極輸入端電壓時(shí),比較器輸出一個(gè)高電平。當(dāng)駐極體檢測(cè)到聲音時(shí),三極管的基極電流增大,由于三極管的動(dòng)態(tài)特性,集電極兩端電壓反而減小。這樣,比較器正極輸入電壓小于負(fù)極輸入電壓,比較器輸出一個(gè)低電平。比較器的輸出端與單片機(jī)的P3.2口相接,單片機(jī)中斷檢測(cè)的是低電平。檢測(cè)模塊電路如圖3-9所示。圖3-9 檢測(cè)模塊圖3.2.3 LED顯示模塊LED顯示模塊的接法比較簡(jiǎn)單,發(fā)光二極管的陽(yáng)極接5V電源,陰極通

42、過(guò)一個(gè)限流電阻接在單片機(jī)的P1.3口,單片機(jī)中斷檢測(cè)到低電平,控制P1.3口輸出低電平,二極管發(fā)光。LED顯示模塊電路如圖3-10所示。圖3-10 LED模塊電路圖3.2.4 按鍵模塊本設(shè)計(jì)中的按鍵一個(gè)引腳接在了單片機(jī)的P2.0接口,另一個(gè)引腳接電源。只有當(dāng)聲控?zé)舫霈F(xiàn)故障時(shí),手動(dòng)觸動(dòng)按鍵,蜂鳴器報(bào)警。松開(kāi)按鍵,蜂鳴器停止報(bào)警。 圖3-11 按鍵模塊電路圖3.2.5 蜂鳴器報(bào)警模塊蜂鳴器發(fā)生的原理是電流通過(guò)電磁線圈,使電磁線圈產(chǎn)生磁場(chǎng)來(lái)驅(qū)動(dòng)振動(dòng)膜發(fā)聲,因此,需要一定的電流才能驅(qū)動(dòng)它。單片機(jī)I/0引腳輸出電流較小,單片機(jī)輸出的TTL電平基本上驅(qū)動(dòng)不了蜂鳴器。因此,需要增加一個(gè)三極管放大電路。電流經(jīng)

43、三極管放大,驅(qū)動(dòng)蜂鳴器發(fā)聲。蜂鳴器報(bào)警模塊的作用:當(dāng)聲控?zé)舫霈F(xiàn)故障時(shí),手動(dòng)觸動(dòng)按鍵,蜂鳴器報(bào)警同時(shí)發(fā)光二極管也會(huì)發(fā)光。圖3-12 蜂鳴器報(bào)警模塊電路圖3.3 原理圖的繪制3.3.1 Protel軟件介紹Protel是澳大利亞Protel公司在80年代末推出的EDA軟件,是電子設(shè)計(jì)者的首選軟件,它較早就在國(guó)內(nèi)開(kāi)始使用。早期的Protel運(yùn)行在DOS環(huán)境,功能也較少,現(xiàn)今的Protel已發(fā)展到Protel 99,是個(gè)龐大的EDA軟件,工作在WINDOWS環(huán)境下。其中包含了電氣原理圖繪制、模擬電路與數(shù)字電路混合信號(hào)仿真、多層印制電路板設(shè)計(jì)(包含印制電路板自動(dòng)布線)、可編程邏輯器件設(shè)計(jì)、圖表生成、電子

44、表格生成等功能,同時(shí)還兼容一些其它設(shè)計(jì)軟件的文件格式,如ORCAD,PSPICE,EXCEL等,其多層印制線路板的自動(dòng)布線可實(shí)現(xiàn)100布通率。3.3.2 原理圖設(shè)計(jì)過(guò)程1. 原理圖的設(shè)計(jì)可按下面過(guò)程來(lái)完成。(1)設(shè)計(jì)圖紙大?。?) 設(shè)置Protel 99/Schematic設(shè)計(jì)環(huán)境(3)旋轉(zhuǎn)零件(4) 有原理圖布線(5) 調(diào)整線路(6) 報(bào)表輸出(7)文件保存及打印輸出原理圖的設(shè)計(jì)流程圖如下圖3-13所示。圖3-13 原理圖設(shè)計(jì)流程2. 新建一個(gè)設(shè)計(jì)庫(kù)3. 添加元件庫(kù)4. 添加元件5. 編輯元件6. 放置電源與接地元件7. 連接線路 8. 放置接點(diǎn) 9. 保存文件電路圖繪制完成后要保存起來(lái),以

45、供日后調(diào)出修改及使用。當(dāng)打開(kāi)一個(gè)舊的電路圖文件并進(jìn)行修改后,執(zhí)行菜單File/Save可自動(dòng)按原文件名將其保存,同時(shí)覆蓋原先的舊文件。在保存文件時(shí)如果不希望覆蓋原來(lái)的文件,可彩換名保存的。具體方法是執(zhí)行File/Save As.菜單命令,打開(kāi)如圖3-14所示的Save As對(duì)話框,在對(duì)話框中指定新的存盤(pán)文件名就可以了。圖3-14換名存盤(pán)對(duì)話框我們?cè)凇癝ave As”對(duì)話框中打開(kāi)“Format”下拉列表框,就可以看到Schematic所能夠處理的各種文件格式。在默認(rèn)情況下,電路圖文件的擴(kuò)展名為.Sch。原理圖繪制如圖所示:圖3-15原理圖3.4 本章小結(jié)本章是本文的核心內(nèi)容,其詳細(xì)地介紹了該系統(tǒng)

46、使用的硬件設(shè)計(jì)及硬件設(shè)計(jì)的具體方案,其中硬件設(shè)計(jì)方面包括單片機(jī)最小系統(tǒng)的設(shè)計(jì)、按鍵的設(shè)計(jì)、LED顯示模塊的設(shè)計(jì)、檢測(cè)模塊的設(shè)計(jì)及蜂鳴器報(bào)警設(shè)計(jì)等,為學(xué)習(xí)下一章打下了堅(jiān)實(shí)的基礎(chǔ)。 東北石油大學(xué)本科生畢業(yè)設(shè)計(jì)(論文) 第4章 系統(tǒng)軟件設(shè)計(jì)4.1 Keil C51開(kāi)發(fā)環(huán)境簡(jiǎn)介如果說(shuō)硬件是一個(gè)系統(tǒng)的軀體,那么軟件就是系統(tǒng)的靈魂。所謂軟件設(shè)計(jì)當(dāng)然包括軟件的流程設(shè)計(jì)和具體程序的編寫(xiě)。流程的設(shè)計(jì)代表系統(tǒng)的一個(gè)大概的運(yùn)行思路,而程序的編寫(xiě)當(dāng)然涉及到編寫(xiě)程序的軟件。本章將介紹編寫(xiě)程序的Keil軟件及原理圖設(shè)計(jì),并且將最終的設(shè)計(jì)成果一一展現(xiàn)。本系統(tǒng)的程序采用C語(yǔ)言編寫(xiě),為了便于修改和調(diào)試,系統(tǒng)軟件采用模塊化設(shè)計(jì),

47、程序的編寫(xiě)編譯在Keil uVision3軟件中完成。4.1.1 Keil uVision3環(huán)境介紹Keil C51是KEIL公司推出的51系列單片機(jī)C語(yǔ)言軟件開(kāi)發(fā)系統(tǒng),對(duì)于多數(shù)單片機(jī)的應(yīng)用開(kāi)發(fā),Keil C51是一款非常優(yōu)秀的軟件。Keil C51軟件支持功能強(qiáng)大的集成開(kāi)發(fā)調(diào)試工具和豐富的庫(kù)函數(shù),生成的目標(biāo)代碼效率很高,多數(shù)語(yǔ)句的匯編代碼很緊湊,且容易理解,在開(kāi)發(fā)大型軟件時(shí)更能體現(xiàn)高級(jí)語(yǔ)言的優(yōu)勢(shì)Keil uVision3是Keil C51 for Windows的集成開(kāi)發(fā)環(huán)境,可以用開(kāi)編譯C源代碼、匯編源程序、連接和重定位目標(biāo)文件和庫(kù)文件、創(chuàng)建HEX文件、調(diào)試目標(biāo)程序等。它集編輯、編譯、仿真

48、于一體,并且支持匯編語(yǔ)言。Keil uVision3提供的多功能的文件操作環(huán)境,包括一個(gè)內(nèi)藏式編輯室,它是標(biāo)準(zhǔn)的文件編輯器,具有十分強(qiáng)大的文件編輯功能,例如文件塊的移動(dòng)、剪切、復(fù)制、查找、刪除等,它支持鼠標(biāo)操作,也有快捷鍵。在Keil uVision3中,用戶(hù)可以同時(shí)打開(kāi)多個(gè)窗口對(duì)多個(gè)不同的文件進(jìn)行處理,這一特性有利于使用C51進(jìn)行結(jié)構(gòu)化的多模塊程序設(shè)計(jì)。在模塊化編程時(shí),如果同時(shí)打開(kāi)多個(gè)不同文件,可以在Keil uVision3中分別進(jìn)行編輯處理。Keil uVision3的主菜單欄涵蓋了幾乎所有的C51編輯、編譯以及調(diào)試等功能方式,共有11個(gè)選項(xiàng),分別是File、Edit、View、Proj

49、ect、Debug、Flash、Peripherals、Tool、SVCS、Windows和Help。下面分別對(duì)各菜單的列表項(xiàng)所指向的功能進(jìn)行說(shuō)明。 (1)文件(File)菜單 文件菜單命令主要用于對(duì)文件的一些操作,如新建、打開(kāi)、關(guān)閉、輸出等。文件菜單的“Device Database”選項(xiàng)用于修改Keil支持的51系列芯片的型號(hào)的設(shè)定。(2)編輯(Edit)菜單 編輯菜單命令主要包括剪切、復(fù)制、粘貼、查找、替換等編輯操作和書(shū)簽管理命令。 (3)視圖(View)菜單 視圖菜單命令用于控制Keil的界面顯示,使用視圖菜單中的命令可以顯示或隱藏Keil的各個(gè)窗口和工具欄。 (4)項(xiàng)目(Projec

50、t)菜單 項(xiàng)目菜單命令包括項(xiàng)目的創(chuàng)建、打開(kāi)、關(guān)閉、維護(hù)、目標(biāo)環(huán)境設(shè)定、編譯等命令。 (5)調(diào)試(Debug)菜單 調(diào)試菜單命令用于軟件仿真環(huán)境下的調(diào)試,提供斷點(diǎn)、單步、跟蹤等操作指令。 (6)燒寫(xiě)(Flash)菜單 燒寫(xiě)菜單命令主要用于程序下載到EEPROM的控制。(7)外設(shè)(Perpherals)菜單 外設(shè)菜單是外圍模塊菜單命令,用于控制芯片的復(fù)位和片內(nèi)功能模塊的控制。 (8)工具(Tools)菜單 工具菜單主要用于支持第三方調(diào)試系統(tǒng),包括Gimpel Software公司的PC-Lint和西門(mén)子公司的Easy-Case. (9)軟件版本控制系統(tǒng)(SVCS)菜單 軟件版本控制系統(tǒng)菜單命令用于

51、設(shè)置和運(yùn)行軟件版本控制系統(tǒng)。 (10)窗口(Windows)菜單 窗口菜單命令用于設(shè)置窗口的排版方式,與Windows的窗口管理兼容。 (11)幫助(Help)菜單 幫助菜單用于提供軟件幫助信息和版本說(shuō)明。4.1.2 利用Keil uVision3創(chuàng)建新項(xiàng)目Keil uVision3中的項(xiàng)目是一個(gè)特殊結(jié)構(gòu)的文件,它包含應(yīng)用開(kāi)發(fā)系統(tǒng)相關(guān)所以文件的相互關(guān)系,在Keil uVision3中,主要使用項(xiàng)目來(lái)進(jìn)行應(yīng)用系統(tǒng)的開(kāi)發(fā)。創(chuàng)建一個(gè)新項(xiàng)目的詳細(xì)步驟為: 1. 選擇菜單命令Project|New Project,如圖4-1所示,彈出“Creat New Project”對(duì)話框。4-1 project菜

52、單2. 在對(duì)話框中選擇新項(xiàng)目要保存的路徑和文件名,單擊“保存”按鈕即可。Keil uVision3的項(xiàng)目文件擴(kuò)展名為.uv2。如圖4-2所示 4-2 Creat New Project對(duì)話框3. 單擊“保存”按鈕后,彈出“Select Device for Target”對(duì)話框。用戶(hù)需要在左側(cè)的芯片列表中選擇調(diào)試使用的51系列單片機(jī)型號(hào),使對(duì)話框右側(cè)的“Descripion”文本框可以查看選中單片機(jī)型號(hào)的說(shuō)明。如圖4-3所示 4-3 Select Device for Target對(duì)話框4. 單擊“Select Device for Target”對(duì)話框中的“確定”按鈕,程序會(huì)詢(xún)問(wèn)是否將標(biāo)準(zhǔn)5

53、1初始化程序加入到項(xiàng)目中,選擇“是”,程序會(huì)自動(dòng)復(fù)制標(biāo)準(zhǔn)51初始化程序到項(xiàng)目所在目錄并將其加入項(xiàng)目文件。如圖4-4所示 4-4 詢(xún)問(wèn)窗口5. 下面需要向項(xiàng)目中添加文件。選中項(xiàng)目窗口中的文件組后單擊鼠標(biāo)右鍵,在彈出的菜單中選擇“Add Files to Group”項(xiàng)添加所需文件。如圖4-5所示 4-5 Add Files to Group6.如果沒(méi)有現(xiàn)成的程序,就需要新建一個(gè)程序文件。單擊新建文件的快捷按鈕,屏幕中出現(xiàn)一個(gè)新的文字編輯窗口,這樣就可以在新的窗口中輸入需要的程序了。4.2 程序的編寫(xiě)4.2.1 中斷服務(wù)程序設(shè)計(jì)中斷服務(wù)程序(ISR)是嵌入式應(yīng)用系統(tǒng)獲取各種事件的基本手段,而“事件

54、”是實(shí)時(shí)性 問(wèn)題的討論基礎(chǔ)和時(shí)間計(jì)算的起點(diǎn)。ISR的設(shè)計(jì)質(zhì)量直接影響到系統(tǒng)的實(shí)時(shí)性指標(biāo)和操作系統(tǒng)的工作效率。只要沒(méi)有關(guān)中斷,中斷服務(wù)程序可以中斷任何任務(wù)的運(yùn)行,可將中斷服務(wù)程序可成比最高優(yōu)先級(jí)(0級(jí))還高的“任務(wù)”。1.中斷優(yōu)先級(jí)安排原則中斷源是系統(tǒng)及時(shí)獲取異步事件的主要手段,其優(yōu)先級(jí)安排原則如下: 緊迫性:觸發(fā)中斷的事件允許耽誤的時(shí)間越短,設(shè)定的中斷優(yōu)先級(jí)就越高。 l 關(guān)鍵性:觸發(fā)中斷的事件越關(guān)鍵(重要),設(shè)定的中斷優(yōu)先級(jí)就越高。 l頻繁性:觸發(fā)中斷的事件發(fā)生越頻繁,設(shè)定的中斷優(yōu)先級(jí)就越高。 l快捷性:ISR處理越快捷(耗時(shí)短),設(shè)定的中斷優(yōu)先級(jí)就越高。 中斷服務(wù)程的功能應(yīng)盡量簡(jiǎn)單,只要將獲取的異步事件通信給關(guān)聯(lián)任務(wù),后續(xù)處理由關(guān)聯(lián)任務(wù)完成。2. 5個(gè)中斷源2個(gè)外部中斷請(qǐng)求INT0和INT1、2個(gè)片內(nèi)定時(shí)器/計(jì)數(shù)器T0和T1的溢出中斷請(qǐng)求、串行口中斷請(qǐng)求TI或RI(合為一個(gè)中斷源)。3.中斷控制(1)中斷允許控制寄存器:IE 寄存器地址A8H,位地址AFHA8H表4-1 中斷允許控制寄存器位地址AFAEADACABAAA9A8位符號(hào)EA/ESET1EX1ET0E

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論