第2章 EDA設(shè)計(jì)流程及其工具 (2)ppt課件_第1頁
第2章 EDA設(shè)計(jì)流程及其工具 (2)ppt課件_第2頁
第2章 EDA設(shè)計(jì)流程及其工具 (2)ppt課件_第3頁
第2章 EDA設(shè)計(jì)流程及其工具 (2)ppt課件_第4頁
第2章 EDA設(shè)計(jì)流程及其工具 (2)ppt課件_第5頁
已閱讀5頁,還剩38頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、EDA原理與運(yùn)用 第2章 EDA工具設(shè)計(jì)流程第2章 EDA工具設(shè)計(jì)流程 本章首先引見FPGA/CPLD和ASIC設(shè)計(jì)的流程,然后分別引見與這些設(shè)計(jì)流程中各環(huán)節(jié)親密相關(guān)的EDA工具軟件,最后就Max+Plus II的根本情況和IP核作一簡(jiǎn)述。 一個(gè)完好的、典型的EDA設(shè)計(jì)流程既是自頂向下設(shè)計(jì)方法的詳細(xì)實(shí)施途徑,也是EDA工具軟件本身的組成構(gòu)造。圖形/HDL文本方式綜合FPGA/CPLD適配FPGA/CPLD編程下載FPGA/CPLD器件和電路系統(tǒng)時(shí)序與功能門級(jí)仿真1、功能仿真2、時(shí)序仿真邏輯綜合器構(gòu)造綜合器1、針對(duì)SRAM構(gòu)造的配置2、JTAG方式下載功能仿真 2.1 FPGACPLD設(shè)計(jì)流程運(yùn)

2、用FPGA/CPLD的EDA開發(fā)流程:2.1.1 設(shè)計(jì)輸入(原理圖HDL文本編輯)1. 圖形輸入 圖形輸入 原理圖輸入 形狀圖輸入 波形圖輸入 設(shè)計(jì)者將所設(shè)計(jì)的系統(tǒng)或電路以開發(fā)軟件要求的某種方式表現(xiàn)出來,此過程稱為設(shè)計(jì)輸入。設(shè)計(jì)輸入有兩種方式:圖形輸入和文本輸入。原理圖輸入方式: 利用EDA工具提供的圖形編輯器以原理圖的方式進(jìn)展輸入,原理圖由邏輯器件和銜接線構(gòu)成。 原理圖輸入方式比較容易掌握,直觀且方便,而且編輯器中有許多現(xiàn)成的單元器件可以利用,本人也可以根據(jù)需求設(shè)計(jì)元件。設(shè)計(jì)方式接近于底層電路規(guī)劃,因此容易控制邏輯資源的耗用,節(jié)省面積。2.1.1 設(shè)計(jì)輸入(原理圖HDL文本編輯)然而原理圖輸

3、入法的優(yōu)點(diǎn)同時(shí)也是它的缺陷: 隨著設(shè)計(jì)規(guī)模的增大,設(shè)計(jì)的易讀性迅速下降,對(duì)于圖中密密麻麻的電路連線,極難搞清電路的實(shí)踐功能;一旦完成,電路構(gòu)造的改動(dòng)將非常困難,因此幾乎沒有可再利用的設(shè)計(jì)模塊;綜合優(yōu)化的空間很小,不能實(shí)現(xiàn)自頂向下設(shè)計(jì); 移植困難、入檔困難、交流困難、設(shè)計(jì)交付困難,由于不能夠存在一個(gè)規(guī)范化的原理圖編輯器。2.1.1 設(shè)計(jì)輸入(原理圖HDL文本編輯)形狀圖輸入方式:根據(jù)電路的控制條件和不同的轉(zhuǎn)換方式,以圖形的方式表示形狀圖進(jìn)展輸入。在EDA工具的形狀圖編輯器上繪出形狀圖,填好時(shí)鐘信號(hào)名、形狀轉(zhuǎn)換條件、形狀機(jī)類型等要素后,就可以自動(dòng)生成VHDL程序。波形圖輸入方式:將待設(shè)計(jì)的電路看成

4、是一個(gè)黑盒子,只需求通知EDA工具黑盒子電路的輸入和輸出時(shí)序波形圖,EDA工具就能據(jù)此完成黑盒子電路的設(shè)計(jì)。2.1.1 設(shè)計(jì)輸入(原理圖HDL文本編輯)根本RS觸發(fā)器電路組成和邏輯符號(hào)信號(hào)輸入端,低電平有效。信號(hào)輸出端:Q=0、Q=1的形狀稱0形狀 Q=1、Q=0的形狀稱1形狀,任務(wù)原理R SQ10010 10R=0、S=1時(shí):由于R=0,不論原來Q為0還是1,都有Q=1;再由S=1、Q=1可得Q0。即不論觸發(fā)器原來處于什么形狀都將變成0形狀,這種情況稱將觸發(fā)器置0或復(fù)位。R端稱為觸發(fā)器的置0端或復(fù)位端。0110R SQ0 10R=1、S=0時(shí):由于S=0,不論原來Q為0還是1,都有Q=1;再

5、由R=1、Q=1可得Q0。即不論觸發(fā)器原來處于什么形狀都將變成1形狀,這種情況稱將觸發(fā)器置1或置位。S端稱為觸發(fā)器的置1端或置位端。1 011110R=1、S=1時(shí):根據(jù)與非門的邏輯功能不難推知,觸發(fā)器堅(jiān)持原有形狀不變,即原來的形狀被觸發(fā)器存儲(chǔ)起來,這表達(dá)了觸發(fā)器具有記憶才干。R SQ1 000 111 1不變1000011R SQ1 000 111 1不變0 0不定?R=0、S=0時(shí):Q=Q=1,不符合觸發(fā)器的邏輯關(guān)系。并且由于與非門延遲時(shí)間不能夠完全相等,在兩輸入端的0同時(shí)撤除后,將不能確定觸發(fā)器是處于1形狀還是0形狀。所以觸發(fā)器不允許出現(xiàn)這種情況,這就是根本RS觸發(fā)器的約束條件。特性表真

6、值表現(xiàn)態(tài):觸發(fā)器接納輸入信號(hào)之前的形狀,也就是觸發(fā)器原來的穩(wěn)定形狀。次態(tài):觸發(fā)器接納輸入信號(hào)之后所處的新的穩(wěn)定形狀。見MAx+plus工程RS_SCH波形圖反映觸發(fā)器輸入信號(hào)取值和形狀之間對(duì)應(yīng)關(guān)系的圖形稱為波形圖RSQQ置1置0置1置1置1堅(jiān)持不允許2. HDL文本輸入 這種方式與傳統(tǒng)的計(jì)算機(jī)軟件言語編輯輸入根本一致,就是將運(yùn)用了某種硬件描畫言語(HDL)的電路設(shè)計(jì)文本,如VHDL或Verilog的源程序,進(jìn)展編輯輸入。 可以說,運(yùn)用HDL的文本輸入方法抑制了上述原理圖輸入法存在的一切弊端,為EDA技術(shù)的運(yùn)用和開展翻開了一個(gè)寬廣的天地。2.1.1 設(shè)計(jì)輸入(原理圖HDL文本編輯)LIBRARY

7、 IEEE;-RS.vhdUSE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY RS ISPORT( S,R,res :IN std_logic; Q,NOT_Q :out std_logic);END RS;ARCHITECTURE behav OF RS ISsignal sel1,sel2: std_logic;BEGINprocess(res,sel1,sel2)beginif res=0 then sel1=0;sel2=1;elsif (S=1 and R=0) then sel1=0;sel2=1;e

8、lsif (S=0 and R=1) then sel1=1;sel2=0;elsif (S=1 and R=1) then sel1=sel1; sel2=sel2;end if;Q=sel1;NOT_Q=sel2;end process;END behav;2.1.1 設(shè)計(jì)輸入(原理圖HDL文本編輯) 整個(gè)綜合過程就是將設(shè)計(jì)者在EDA平臺(tái)上編輯輸入的HDL文本、原理圖或形狀圖形描畫,根據(jù)給定的硬件構(gòu)造和約束條件進(jìn)展編譯、轉(zhuǎn)換、優(yōu)化,最終獲得門級(jí)電路甚至更底層的電路描畫網(wǎng)表文件。 由此可見,綜合器任務(wù)前,必需給定最后實(shí)現(xiàn)的硬件構(gòu)造參數(shù),它的功能就是將軟件描畫與給定的硬件構(gòu)造用某種網(wǎng)表文件的方

9、式對(duì)應(yīng)起來,成為相應(yīng)的映射關(guān)系。 這個(gè)映射過程不是獨(dú)一的,并且綜合優(yōu)化也不是單一方向的,為了到達(dá)速度、面積、性能的要求,往往需求對(duì)綜合加以約束,即綜合約束。2.1.2 綜合2.1.3 適配 適配器也稱構(gòu)造綜合器,它的功能是將由綜合器產(chǎn)生的網(wǎng)表文件配置于指定的目的器件中,使之產(chǎn)生最終的下載文件。 邏輯綜合經(jīng)過后必需利用適配器將綜合后網(wǎng)表文件針對(duì)某一詳細(xì)的目的器件進(jìn)展邏輯映射操作,其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、邏輯規(guī)劃布線操作。 適配所選定的目的器件(FPGA/CPLD芯片)必需屬于原綜合器指定的目的器件系列。適配器適配對(duì)象直接與器件的構(gòu)造細(xì)節(jié)相對(duì)應(yīng)。 適配完成后可以利用適配所產(chǎn)生的仿

10、真文件作準(zhǔn)確的時(shí)序仿真,同時(shí)產(chǎn)生可用于編程的文件。2.1.4 時(shí)序仿真和功能仿真 在編程下載前必需利用EDA工具對(duì)適配生成的結(jié)果進(jìn)展模擬測(cè)試,即仿真。時(shí)序仿真功能仿真 就是接近真實(shí)器件運(yùn)轉(zhuǎn)特性的仿真,仿真文件中己包含了器件硬件特性參數(shù),因此,仿真精度高。 是直接對(duì)VHDL、原理圖描畫或其他描畫形式的邏輯功能進(jìn)展測(cè)試模擬,以了解其實(shí)現(xiàn)的功能能否滿足原設(shè)計(jì)的要求的過程,仿真過程不涉及任何詳細(xì)器件的硬件特性。2.1.5 編程下載 假設(shè)編譯、綜合、適配和仿真等過程都沒有發(fā)現(xiàn)問題,即滿足原設(shè)計(jì)的要求,那么可以將由適配器產(chǎn)生的配置/下載文件經(jīng)過編程器或下載電纜載入目的芯片F(xiàn)PGA或CPLD中。 通常,將對(duì)

11、CPLD的下載稱為編程(Program),對(duì)FPGA中的SRAM進(jìn)展直接下載的方式稱為配置(Configure)。 FPGA與CPLD的區(qū)分和分類主要是根據(jù)其構(gòu)造特點(diǎn)和任務(wù)原理。通常的分類方法是:將以乘積項(xiàng)構(gòu)造方式構(gòu)成邏輯行為的器件稱為CPLD,將以查找表法構(gòu)造方式構(gòu)成邏輯行為的器件稱為FPGA。2.1.6 硬件測(cè)試 最后是將含有載入了設(shè)計(jì)的FPGA或CPLD的硬件系統(tǒng)進(jìn)展一致測(cè)試,以便最終驗(yàn)證設(shè)計(jì)工程在目的系統(tǒng)上的實(shí)踐任務(wù)情況,以排除錯(cuò)誤,改良設(shè)計(jì)。2.2 ASIC及其設(shè)計(jì)流程了解 ASIC(Application Specific Integrated Circuits,公用集成電路) 主

12、要指用于某一專門用途的集成電路器件,ASIC分類大致可分為數(shù)字ASIC、模擬ASIC和數(shù)?;旌螦SIC。2.2.1 ASIC設(shè)計(jì)方法 按幅員構(gòu)造及制造方法分,有半定制(Semi-custom)和全定制(Full-custom)兩種實(shí)現(xiàn)方法。 全定制方法 是一種基于晶體管級(jí)的,手工設(shè)計(jì)幅員的制造方法。 半定制法 是一種約束性設(shè)計(jì)方式,約束的目的是簡(jiǎn)化設(shè)計(jì),縮短設(shè)計(jì)周期,降低設(shè)計(jì)本錢,提高設(shè)計(jì)正確率。ASIC設(shè)計(jì)方法全定制法半定制法門陣列法規(guī)范單元法可編程邏輯器件法可編程邏輯器件是一種半定制的邏輯芯片,與門陣列法、規(guī)范單元法之間的區(qū)別在于芯片內(nèi)部的邏輯資源和連線資源不是由廠家預(yù)先定制好的,可以方便

13、的經(jīng)過編程下載獲得重新配置。2.2.2 普通ASIC設(shè)計(jì)的流程系統(tǒng)規(guī)格闡明系 統(tǒng) 劃 分邏輯設(shè)計(jì)與綜合綜合后仿真芯 片 測(cè) 試版 圖 設(shè) 計(jì)版 圖 驗(yàn) 證參數(shù)提取與后仿真制版、流片2.3 常用EDA工具了解 本節(jié)主要引見當(dāng)今廣泛運(yùn)用的以開發(fā)FPGA和CPLD為主的EDA工具,及部分關(guān)于ASIC設(shè)計(jì)的EDA工具。 EDA工詳細(xì)大致可以分為如下5個(gè)模塊:設(shè)計(jì)輸入編輯器仿真器HDL綜合器適配器(或規(guī)劃布線器)下載器 EDA工具軟件1、ALTERA: MAX+PLUSII、QUARTUSII2、LATTICE:isp EXPERT SYSTEM、 isp Synario isp DesignExper

14、t SYSTEM isp COMPILER、PAC-DESIGNER3、XILINX: FOUNDATION、ISE4、FPGA Compiler、FPGA Express、Synplify、 Leonardo Spectrum .EDA公司 : CADENCE、EXEMPLAR、MENTOR GRAPHICS、OrCAD、SYNOPSYS、SYNPLICITY、VIEWLOGIC、.主要內(nèi)容Max+plus II軟件的安裝方法;Max+plus II工程的根本設(shè)計(jì)流程;經(jīng)過簡(jiǎn)單的實(shí)例演示,熟習(xí)Max+plus II軟件的用戶界面、常用工具和設(shè)計(jì)流程;2.4 Altera Max+plus I

15、I概述2.4.1 Max+plus II軟件的安裝把Max+plus II安裝光盤放入計(jì)算機(jī)的光驅(qū)中,在自動(dòng)出現(xiàn)的光盤安裝目錄中選擇安裝Max+plus II軟件安裝光盤將自動(dòng)引導(dǎo)完成軟件的安裝;軟件安裝完成之后,在軟件中指定Altera公司的授權(quán)文件License.dat,才干正常運(yùn)用 ;授權(quán)文件可以在Altera的網(wǎng)頁上httpaltera懇求或者購買獲得。也可以用安裝光盤自帶的適用于教學(xué)實(shí)驗(yàn)的版本。2.4.2 Max+plus II軟件的用戶界面 啟動(dòng)Max+plus II軟件后默許的界面主要由標(biāo)題欄、菜單欄、工具欄、資源管理窗口、編譯形狀顯示窗口、信息顯示窗口和工程任務(wù)區(qū)等部分組成。標(biāo)

16、題欄 標(biāo)題欄中顯示當(dāng)前工程的途徑和工程名。菜單欄 菜單欄主要由文件File、編輯Edit、視圖View、資源分配Assignments、操作Processing、窗口Window和協(xié)助Help等下拉菜單組成。工具欄 工具欄中包含了常用命令的快捷圖標(biāo)。資源管理窗口 資源管理窗口用于顯示當(dāng)前工程中一切相關(guān)的資源文件。2.4.2 Max+plus II軟件的用戶界面續(xù)12.4.2 Max+plus II軟件的用戶界面續(xù)2工程任務(wù)區(qū) 當(dāng)Max+plus II實(shí)現(xiàn)不同的功能時(shí),此區(qū)域?qū)⒎_對(duì)應(yīng)的操作窗口,顯示不同的內(nèi)容,進(jìn)展不同的操作,如器件設(shè)置、定時(shí)約束設(shè)置、編譯報(bào)告等均顯示在此窗口中。編譯形狀顯示窗

17、口 此窗口主要顯示模塊綜合、規(guī)劃布線過程及時(shí)間。信息顯示窗口 該窗口主要顯示模塊綜合、規(guī)劃布線過程中的信息,如編譯中出現(xiàn)的警告、錯(cuò)誤等,同時(shí)給出警告和錯(cuò)誤的詳細(xì)緣由。2.4.3 Max+plus II的開發(fā)流程利用Max+plus II軟件進(jìn)展工程設(shè)計(jì)可以分為以下四個(gè)步驟: 1輸入設(shè)計(jì)文件; 2編譯設(shè)計(jì)文件; 3仿真設(shè)計(jì)文件; 4編程下載設(shè)計(jì)文件。 輸入設(shè)計(jì)文件Max+plus II軟件的輸入法有: 1原理圖輸入方式 2文本輸入方式如VHDL、Verilog HDL 3模塊輸入方式 4第三方EDA工具產(chǎn)生的文件 5混合運(yùn)用以上幾種設(shè)計(jì)輸入方法進(jìn)展設(shè)計(jì) 輸入設(shè)計(jì)文件 任何一項(xiàng)設(shè)計(jì)都是一項(xiàng)工程,都

18、必需首先為此工程建立一個(gè)放置與此工程相關(guān)的一切設(shè)計(jì)文件的文件夾。此文件夾將被EDA軟件默以為任務(wù)庫Work Library。 此文件夾不要設(shè)在計(jì)算機(jī)的已有安裝目錄中,更不要將工程文件直接放在安裝目錄下。 文件夾不能用中文名,最好也不用數(shù)字。 編譯設(shè)計(jì)文件 分步編譯就是運(yùn)用對(duì)應(yīng)命令分步執(zhí)行對(duì)應(yīng)的編譯環(huán)節(jié),每完成一個(gè)編譯環(huán)節(jié),生成一個(gè)對(duì)應(yīng)的編譯報(bào)告。分步編譯跟全編譯一樣分為四步: 1、分析與綜合Analysis & Synthesis 分析和檢查輸入文件能否有錯(cuò)誤, 2、適配Fitter完成設(shè)計(jì)邏輯器件中的規(guī)劃布線、選擇適當(dāng)?shù)膬?nèi)部互連途徑、引腳分配、邏輯元件分配等, 編譯設(shè)計(jì)文件 3、編程Asse

19、mbler :產(chǎn)生多種方式的器件編程映像文件 ,經(jīng)過軟件下載到目的器件當(dāng)中去, 4、時(shí)序分析(Classical Timing Analyzer) :計(jì)算給定設(shè)計(jì)與器件上的延時(shí),完成設(shè)計(jì)分析的時(shí)序分析和一切邏輯的性能分析,。 編譯完成以后,編譯報(bào)告窗口Compilation Report會(huì)報(bào)告工程文件編譯的相關(guān)信息,如編譯的頂層文件名、目的芯片的型號(hào)、引腳的數(shù)目等等 。 全編譯操作簡(jiǎn)單,適宜簡(jiǎn)單的設(shè)計(jì)。對(duì)于復(fù)雜的設(shè)計(jì),選擇分步編譯可以及時(shí)發(fā)現(xiàn)問題,提高設(shè)計(jì)糾錯(cuò)的效率,從而提高設(shè)計(jì)效率。 仿真設(shè)計(jì)文件仿真的目的就是在軟件環(huán)境下,驗(yàn)證電路的行為和想象中的能否一致。FPGA/CPLD中的仿真分為功能

20、仿真和時(shí)序仿真。功能仿真著重調(diào)查電路在理想環(huán)境下的行為和設(shè)計(jì)想象的一致性,時(shí)序仿真那么在電路曾經(jīng)映射到特定的工藝環(huán)境后,調(diào)查器件在延時(shí)情況下對(duì)規(guī)劃布線網(wǎng)表文件進(jìn)展的一種仿真。仿真普通需求建立波形文件、輸入信號(hào)節(jié)點(diǎn)、編輯輸入信號(hào)、波形文件的保管和運(yùn)轉(zhuǎn)仿真器等過程。 編程下載設(shè)計(jì)文件對(duì)設(shè)計(jì)進(jìn)展仿真驗(yàn)證后,即可對(duì)目的器件進(jìn)展編程和配置,下載設(shè)計(jì)文件到硬件中進(jìn)展硬件驗(yàn)證。選擇Max+plus II菜單下的Programmer命令或 點(diǎn)擊 圖標(biāo),進(jìn)入器件編程和配置對(duì)話框。假設(shè)此對(duì)話框中的Hardware Setup后為“No Hardware,那么需求選擇編程的硬件。點(diǎn)擊Hardware Setup,進(jìn)入Hardware Setup對(duì)話框,在此添加

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論