在系統(tǒng)可編程技術(shù):第14講 VHDL語言語言時序邏輯電路設(shè)計_第1頁
在系統(tǒng)可編程技術(shù):第14講 VHDL語言語言時序邏輯電路設(shè)計_第2頁
在系統(tǒng)可編程技術(shù):第14講 VHDL語言語言時序邏輯電路設(shè)計_第3頁
在系統(tǒng)可編程技術(shù):第14講 VHDL語言語言時序邏輯電路設(shè)計_第4頁
在系統(tǒng)可編程技術(shù):第14講 VHDL語言語言時序邏輯電路設(shè)計_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、在系統(tǒng)可編程技術(shù)第14講 VHDL語言語言時序邏輯電路設(shè)計時鐘的描述方法上升沿:CPEVENT AND CP=1下升沿:CPEVENT AND CP=0CP=0CP=0CP=1CP=1CPEVENTCPEVENTCPEVENT上升沿D觸發(fā)器描述方法一:使用信號屬性函數(shù)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(CP)BEGINIF (CPEVEN

2、T AND CP=1) THENQ=D;END PROCESS;END test;方法二:使用WAIT 語句LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESSBEGINWAIT UNTIL CP=1;Q=D;END PROCESS;END test;上升沿D觸發(fā)器描述方法三:使用上升沿檢測函數(shù)LIBRARY IEEE;USE IEEE.STD_LOGIC

3、_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(cp)BEGINIF (rising_edge(cp) THENQ=D;END IF;END PROCESS;END test;上升沿D觸發(fā)器描述方法四:使用進程的啟動特性LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);E

4、ND D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(CP)BEGINIF CP=1 THENQ=D;END IF;END PROCESS;END test;上升沿D觸發(fā)器描述上升沿D觸發(fā)器描述下降沿D觸發(fā)器描述方法一:使用信號屬性函數(shù)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(CP)BEGINIF

5、 (CPEVENT AND CP=0) THENQ=D;END PROCESS;END test;方法二:使用WAIT 語句LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESSBEGINWAIT UNTIL CP=0;Q=D;END PROCESS;END test;下降沿D觸發(fā)器描述方法三:使用下降沿檢測函數(shù)LIBRARY IEEE;USE IEEE.S

6、TD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(cp)BEGINIF (falling_edge(cp) THENQ=D;END IF;END PROCESS;END test;下降沿D觸發(fā)器描述方法四:使用進程的啟動特性LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD

7、_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(CP)BEGINIF CP=0 THENQ=D;END IF;END PROCESS;END test;下降沿D觸發(fā)器描述下降沿D觸發(fā)器描述髙電平D觸發(fā)器描述方法:使用進程的啟動特性LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(C

8、P,D)BEGINIF CP=1 THENQ=D;END IF;END PROCESS;END test;髙電平D觸發(fā)器描述低電平D觸發(fā)器描述方法:使用進程的啟動特性LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(D,CP:IN STD_LOGIC;Q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS(CP,D)BEGINIF CP=0 THENQ=D;END IF;END PROCESS;END test;低電平D觸發(fā)器描述LIBRAR

9、Y IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY D_reg ISPORT(d,clk,clr:IN STD_LOGIC;q:OUT STD_LOGIC);END D_reg;ARCHITECTURE test OF D_reg ISBEGINPROCESS (clk, clr) BEGIN IF clr = 1 THEN q = 0; ELSIF clkEVENT AND clk = 1 THEN q = d; END IF;END PROCESS;END test;異步復(fù)位D觸發(fā)器異步復(fù)位D觸發(fā)器ARCHITECTURE test OF D_reg ISB

10、EGINPROCESS (clk) BEGIN IF clkEVENT AND clk = 1 THEN IF clr = 1 THEN q = 0; ELSE q = d; END IF; END IF;END PROCESS;END test;同步復(fù)位D觸發(fā)器同步復(fù)位D觸發(fā)器例:設(shè)計一異步清零、同步置數(shù)的同步8421BCD碼計數(shù)器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COUNT10 IS PORT(CLK,R,S:IN STD_LOGIC;DATA:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CO:OUT STD_LOGIC;Q:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);END COUNT10;時序電路設(shè)計舉例ARCHITECTURE test OF COUNT10 ISBEGINCO=1 WHEN (Q=1001) ELSE 0;PROCESS(CLK

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論