時(shí)序電路實(shí)驗(yàn)系統(tǒng)研究報(bào)告_第1頁(yè)
時(shí)序電路實(shí)驗(yàn)系統(tǒng)研究報(bào)告_第2頁(yè)
時(shí)序電路實(shí)驗(yàn)系統(tǒng)研究報(bào)告_第3頁(yè)
時(shí)序電路實(shí)驗(yàn)系統(tǒng)研究報(bào)告_第4頁(yè)
時(shí)序電路實(shí)驗(yàn)系統(tǒng)研究報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、-. z理工大學(xué)成人高等學(xué)歷教育畢業(yè)設(shè)計(jì)(論文)時(shí)序電路實(shí)驗(yàn)系統(tǒng)與研究學(xué) 院 學(xué) 號(hào)姓 名 專 業(yè) 學(xué)歷層次 學(xué)習(xí)形式 學(xué)習(xí)年限 學(xué)習(xí)地點(diǎn) 指導(dǎo)教師 完成日期 2021年9月6日-. z時(shí)序電路實(shí)驗(yàn)系統(tǒng)與研究摘 要隨著多媒體技術(shù)的日趨成熟與穩(wěn)定,在教育方面,它以強(qiáng)大的功能成為教學(xué)的主要輔助工具。通過(guò)各種多媒體輔助工具數(shù)字電子技術(shù)實(shí)驗(yàn)系統(tǒng)開(kāi)發(fā)的根底上,進(jìn)展多媒體技術(shù)開(kāi)發(fā)和研究,對(duì)根本單元電路時(shí)序電路觸發(fā)電路、計(jì)數(shù)、譯碼和顯示、存放器和移位存放器、施密特觸發(fā)器進(jìn)展系統(tǒng)的組合式構(gòu)造的設(shè)計(jì),并具有系列化多功能的實(shí)驗(yàn)操作性的特點(diǎn),使實(shí)驗(yàn)室數(shù)字電子實(shí)驗(yàn)方面的實(shí)驗(yàn)?zāi)J胶蛯?shí)驗(yàn)手段有一個(gè)系統(tǒng)的改變和提高,同時(shí)在

2、整個(gè)多元化的數(shù)字電子電路設(shè)計(jì)中,對(duì)單元電路進(jìn)展深化研究。使初學(xué)的使用者在本系統(tǒng)實(shí)驗(yàn)中可以完成驗(yàn)證性、設(shè)計(jì)性,開(kāi)發(fā)性的實(shí)驗(yàn)。其中Multisim仿真軟件對(duì)于虛擬電子與及其元器件,虛擬儀器和儀表,實(shí)現(xiàn)了軟件即元器件,軟件即儀器,是一個(gè)具有數(shù)字電子電路的設(shè)計(jì),電路功能測(cè)試的虛擬仿真軟件。在如今這個(gè)微電子世界來(lái)說(shuō),進(jìn)展零本錢的實(shí)驗(yàn)零本錢的風(fēng)險(xiǎn)實(shí)驗(yàn),Multisim仿真軟件給我們帶來(lái)的利益是無(wú)窮大的。關(guān)鍵詞:數(shù)字電子技術(shù) Multisim仿真軟件-. zSequential circuit e*periment system and researchABSTRACTWith the maturing o

3、f multimedia technology and stability, in terms of education, it with strong function bee the main teaching AIDS. Au*iliary tool through a variety of multimedia digital electronic technology e*periment, on the basis of system development, multimedia technology research and development, the basic uni

4、t circuit timing circuit, trigger circuit, counting, decoding and display, and shift registers, Schmitt trigger) for the design of system of modular structure, and has the characteristics of series multifunctional e*perimental operational, the digital electronic laboratory e*periments of e*perimenta

5、l model and e*perimental means there is a change and improve in the system, at the same time in the diversified digital electronic circuit design, to deepen the research unit circuit. The novice users in .Key word: Digital electronic technology Multisim simulation software-. z目 錄第1章緒論11.1 課題背景及意義21.

6、2課題的設(shè)想及設(shè)計(jì)方法2第2章 系統(tǒng)理論分析32.1時(shí)序邏輯電路3觸發(fā)器4常用時(shí)序邏輯電路5時(shí)序邏輯電路的設(shè)計(jì)52.2 脈沖的產(chǎn)生于整形電路72.2.1 脈沖波形的整形7555集成定時(shí)器7第3章 Ni Multisim仿真的制作93.1 Multisim的實(shí)際應(yīng)用9第4章 實(shí)驗(yàn)報(bào)告154.1實(shí)驗(yàn)一 觸發(fā)器電路及功能轉(zhuǎn)換154.2實(shí)驗(yàn)二 存放器、移位存放器和計(jì)數(shù)器174.3實(shí)驗(yàn)三 時(shí)序邏輯電路的設(shè)計(jì)194.4實(shí)驗(yàn)四 計(jì)數(shù)、譯碼和顯示20實(shí)驗(yàn)總結(jié)22參考文獻(xiàn)23-. z第1章 緒論隨著科學(xué)技術(shù)的進(jìn)步,各種電子產(chǎn)品層出不窮,這些新產(chǎn)品的根底都離不開(kāi)數(shù)字電子技術(shù)。實(shí)驗(yàn)教學(xué)是電氣、信息、自動(dòng)化類專業(yè)根底

7、課程中的重要環(huán)節(jié),與專業(yè)教學(xué)嚴(yán)密結(jié)合,對(duì)培養(yǎng)學(xué)生理論聯(lián)系實(shí)際的能力具有重要的作用。雖然組合邏輯電路能夠很好的處理像加,減等這樣的操作,但是要獨(dú)立使用組合邏輯電路,使操作按照一定的順序執(zhí)行需要串聯(lián)許多組合邏輯電路,而要通過(guò)硬件實(shí)現(xiàn)這種電路代價(jià)是很大的,并且靈活性也很差,為了試驗(yàn)一種很有效而且靈活的操作系列,我們需要構(gòu)造一種能夠存儲(chǔ)各種操作之間的信息的電路,我們則稱之為時(shí)序電路。為了的改善根底性實(shí)驗(yàn)的教學(xué)模式,使學(xué)生能在根底性實(shí)驗(yàn)中理解和穩(wěn)固根本知識(shí)理論,訓(xùn)練和掌握實(shí)驗(yàn)技能。但是實(shí)驗(yàn)教學(xué)比擬死板教師在上面教,學(xué)生在下面聽(tīng)的比擬抽象,當(dāng)學(xué)生自己做的時(shí)候往往會(huì)遇到很多麻煩。雖然教師會(huì)讓同學(xué)們預(yù)習(xí),但是

8、效果并不是好。因此我們通過(guò)Multisim仿真,讓學(xué)生們直觀的觀察邏輯電路運(yùn)行時(shí)各個(gè)關(guān)鍵點(diǎn)的波形變化,加深了理解,同時(shí)也提高了實(shí)驗(yàn)效果。在數(shù)字電子電路的典型實(shí)驗(yàn)中,通過(guò)Multisim讓同學(xué)們必須自己動(dòng)手連接元器件,得到自己想要的實(shí)驗(yàn)電路圖,而教師則可以通過(guò)多媒體數(shù)字電子電路實(shí)驗(yàn)的多媒體教學(xué)來(lái)指導(dǎo)每個(gè)學(xué)生的缺乏,既提高效率又可以減少上課的負(fù)擔(dān)。1.1 課題背景及意義從自身在學(xué)校上課的經(jīng)歷來(lái)看,在現(xiàn)有的根底性實(shí)驗(yàn)教學(xué)模式下,由于受到場(chǎng)地和設(shè)備的影響,缺乏生動(dòng)形象的表達(dá)形式。實(shí)驗(yàn)課程是需要自己預(yù)習(xí)的,可是現(xiàn)在沒(méi)有針對(duì)預(yù)習(xí)的一些資料,當(dāng)在預(yù)習(xí)中遇到問(wèn)題是就比擬麻煩。因此利用現(xiàn)有的計(jì)算機(jī)技術(shù)設(shè)計(jì)一個(gè)基

9、于PowerPoint和電路仿真軟件NI multisim的多媒體系統(tǒng),通過(guò)文字,圖像,動(dòng)畫來(lái)演示動(dòng)態(tài)的數(shù)字電子實(shí)驗(yàn),對(duì)提高實(shí)驗(yàn)教學(xué)質(zhì)量的意義如下:1.整合數(shù)字電子實(shí)驗(yàn)的模式與手段為統(tǒng)一的系統(tǒng)。使之具有系列化,多功能的實(shí)驗(yàn)操作性。在原來(lái)的數(shù)電實(shí)驗(yàn)箱上配合例如的幻燈片,以期到達(dá)生動(dòng)簡(jiǎn)潔的說(shuō)明效果。2.在系統(tǒng)的單一單元電路設(shè)計(jì)中,深化研究,使初學(xué)者在系統(tǒng)實(shí)驗(yàn)中自行完成驗(yàn)證性、設(shè)計(jì)性、開(kāi)發(fā)性的實(shí)驗(yàn)。理解和穩(wěn)固根底知識(shí)與理論,訓(xùn)練掌握根本技能。培養(yǎng)獨(dú)立分析問(wèn)題與解決問(wèn)題的能力和嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)。3.系統(tǒng)采用電子文檔,利用幻燈片教學(xué),擯棄傳統(tǒng)的文本備課,具有簡(jiǎn)單直觀的特點(diǎn)。同時(shí),課程容的修改、添加和刪除都

10、可以在計(jì)算機(jī)上完成。設(shè)想中,教師可以依托校園網(wǎng)將實(shí)驗(yàn)指導(dǎo)發(fā)布在網(wǎng)絡(luò)上,成為共享資源,也能為每個(gè)學(xué)生定制不同的實(shí)驗(yàn)方案。1.2 課題的設(shè)計(jì)方法我們?cè)谥笇?dǎo)教師的指導(dǎo)下,決定在數(shù)字實(shí)驗(yàn)系統(tǒng)開(kāi)發(fā)的根底上,進(jìn)展多媒體技術(shù)開(kāi)發(fā)和研究,通過(guò)查找多媒體設(shè)計(jì)書(shū)籍,收集數(shù)字實(shí)驗(yàn)數(shù)據(jù),了解和掌握整個(gè)多媒體設(shè)計(jì)的要求和目的。結(jié)合電路、電子、計(jì)算機(jī)單片電路的根底知識(shí),對(duì)根本單元電路常用電子儀器的使用、組合邏輯、數(shù)據(jù)選擇器、數(shù)字比擬器、譯碼器、計(jì)數(shù)器進(jìn)展研究,整理并設(shè)計(jì)典型的數(shù)字實(shí)驗(yàn),并使之具有系列化多功能的實(shí)驗(yàn)操作性的特點(diǎn),使實(shí)驗(yàn)室數(shù)字電子實(shí)驗(yàn)的實(shí)驗(yàn)?zāi)J胶褪侄斡幸粋€(gè)系統(tǒng)的改變和提高,通過(guò)與指導(dǎo)教師的溝通,具體設(shè)計(jì)方案如

11、下:我將進(jìn)展時(shí)序邏輯電路的理論分析及利用Multisim進(jìn)展仿真模擬實(shí)驗(yàn)設(shè)計(jì)。第2章 系統(tǒng)理論分析觀察自然界中形形色色的物理量時(shí)不難發(fā)現(xiàn),盡管性質(zhì)各異,但就變化規(guī)律來(lái)說(shuō),不外乎兩大類。其中一類物理量的變化在時(shí)間和數(shù)量上都是連續(xù)的,這類物理量叫模擬量,表示模擬量的信號(hào)叫模擬信號(hào),工作在模擬信號(hào)下的電子電路稱為模擬電路。另一類物理量的變化都是離散的,同時(shí)他們的數(shù)值大小和每次的增減變化都是*個(gè)最小數(shù)量單位的整數(shù)倍。這類物理量叫數(shù)字量,表示數(shù)字量的信號(hào)叫數(shù)字信號(hào),工作在數(shù)字信號(hào)下的電子電路稱為數(shù)字電路。根據(jù)邏輯功能的不同特點(diǎn),可以把數(shù)字電路分為組合邏輯電路和時(shí)序邏輯電路。在組合邏輯電路中,任一時(shí)刻的輸

12、出信號(hào)僅取決于當(dāng)時(shí)的輸入信號(hào)。而在時(shí)序邏輯電路中,任一時(shí)刻的輸出信號(hào)不僅取決于當(dāng)時(shí)的輸入信號(hào),還與前一時(shí)刻電路的狀態(tài)有關(guān)。它主要由存儲(chǔ)電路和組合電路兩局部組成,組合電路的根本單元是門電路,存儲(chǔ)電路的根本單元是觸發(fā)器。在這里,只討論時(shí)序邏輯實(shí)驗(yàn)的原理。2.1 時(shí)序邏輯電路時(shí)序邏輯電路在電路構(gòu)造上有兩個(gè)顯著特點(diǎn)。第一,時(shí)序電路通常包含組合電路和存儲(chǔ)電路,其中存儲(chǔ)電路是必不可少的。第二,順序電路的輸出狀態(tài)必須反應(yīng)到組合電路的輸入端,與輸入信號(hào)一起,共同決定組合邏輯電路的輸出。框圖可繪為下列圖所示的普遍形式。圖2-1 時(shí)序電路原理設(shè)*為現(xiàn)在的輸入,q為現(xiàn)在的狀態(tài),z為現(xiàn)在的輸出,它們之間具有如下關(guān)系z(mì)

13、=w*,qw表示函數(shù),w為輸出函數(shù)。因?yàn)橄乱粋€(gè)狀態(tài)是由現(xiàn)在的狀態(tài)和現(xiàn)在的輸入所決定的,則q=*,q 這里表示狀態(tài)轉(zhuǎn)移函數(shù)。q都是表示現(xiàn)在的狀態(tài),*也表示現(xiàn)在的輸入,這些公式的左邊是僅由現(xiàn)在信號(hào)所決定的。即表示這些式子的邏輯可以構(gòu)成組合電路。因此順序電路可由圖2-1構(gòu)成。由于存儲(chǔ)電路觸發(fā)器的動(dòng)作特點(diǎn)不同,時(shí)序電路又分為同步與異步。在同步時(shí)序電路中,所有觸發(fā)器的狀態(tài)變化都是同一信號(hào)操作下的發(fā)生的,而在異步時(shí)序電路中,觸發(fā)器狀態(tài)變化不同時(shí)。其實(shí),在分析時(shí)序邏輯電路時(shí),只要把狀態(tài)變量和輸入信號(hào)一樣當(dāng)作邏輯函數(shù)的變量處理,則仍然可以運(yùn)用一些組合電路的運(yùn)算方法。不過(guò),由于任何時(shí)候的狀態(tài)變量的取值都與歷史情

14、況有關(guān),所以分析要復(fù)雜一些。為此要引入新的表示方法和分析方法。用輸出方程、驅(qū)動(dòng)方程和狀態(tài)方程來(lái)描述時(shí)序電路邏輯功能。用狀態(tài)轉(zhuǎn)換圖來(lái)描述電路狀態(tài)轉(zhuǎn)換全過(guò)程。觸發(fā)器能夠存儲(chǔ)1位二值信號(hào)的根本單元電路統(tǒng)稱為觸發(fā)器。為實(shí)現(xiàn)記憶1位二值信號(hào)的功能,觸發(fā)器必須具備兩個(gè)根本特點(diǎn):1.具有兩個(gè)能自行保持的穩(wěn)定狀態(tài),用來(lái)表示邏輯狀態(tài)的0和1。2.根據(jù)不同的輸入信號(hào)可以置0或1狀態(tài)。根據(jù)觸發(fā)器邏輯功能細(xì)節(jié)的不同,可以分為RS觸發(fā)器、JK觸發(fā)器、T觸發(fā)器、D觸發(fā)器等。此外,根據(jù)存儲(chǔ)數(shù)據(jù)原理的不同,觸發(fā)器還分為靜態(tài)觸發(fā)器和動(dòng)態(tài)觸發(fā)器。靜態(tài)觸發(fā)器靠電路狀態(tài)的自鎖存儲(chǔ)數(shù)據(jù)。動(dòng)態(tài)觸發(fā)器是通過(guò)MOS管柵極輸入電容上存儲(chǔ)電荷來(lái)

15、存儲(chǔ)數(shù)據(jù)。由于電路構(gòu)造形式的不同,帶來(lái)了觸發(fā)器各不一樣的動(dòng)作特點(diǎn)。即,同一種電路構(gòu)造可以做成不同的觸發(fā)器,反之,同一邏輯功能的觸發(fā)器可以用不同的構(gòu)造來(lái)實(shí)現(xiàn)。RS觸發(fā)器:特性方程:Qn+1=S+QnRS=0(約束條件)狀態(tài)轉(zhuǎn)換圖JK觸發(fā)器:特性方程:Qn+1=Jn+Qn狀態(tài)轉(zhuǎn)換圖 D觸發(fā)器:特性方程:Qn+1=D狀態(tài)轉(zhuǎn)換圖T觸發(fā)器:特性方程:Qn+1=Tn+Qn狀態(tài)轉(zhuǎn)換圖常用時(shí)序邏輯電路存放器:一個(gè)觸發(fā)器可以保存1位二進(jìn)制數(shù),存放n位二進(jìn)制數(shù)碼需要n個(gè)觸發(fā)器。由多個(gè)觸發(fā)器組成的能同時(shí)保存多位二進(jìn)制數(shù)據(jù)的電路,稱為存放器。對(duì)于存放器中的觸發(fā)器只要求他們具有置1、置0的功能,因此無(wú)論是同步RS構(gòu)造觸

16、發(fā)器,還是主從構(gòu)造或者邊沿觸發(fā)構(gòu)造的觸發(fā)器,都可以組成存放器。移位存放器:移位存放器除了具有存儲(chǔ)代碼的功能外,還具有移位功能,可以利用移位脈沖使存放的代碼左移或右移。因此不但可以用來(lái)存放代碼,還可以用來(lái)實(shí)現(xiàn)數(shù)據(jù)的串行并行轉(zhuǎn)換、數(shù)值運(yùn)算和數(shù)據(jù)處理等。計(jì)數(shù)器:計(jì)數(shù)器在數(shù)字系統(tǒng)中應(yīng)用廣泛。不僅用與時(shí)鐘脈沖計(jì)數(shù),還用于分頻,產(chǎn)生節(jié)拍脈沖和脈沖序列及進(jìn)展數(shù)字運(yùn)算??梢苑譃橥胶彤惒絻深悺0从?jì)數(shù)器的數(shù)字增減分類,可以把計(jì)數(shù)器分為加法、減法和可逆計(jì)數(shù)器。按計(jì)數(shù)器的數(shù)字編碼方式分類,可以分為二進(jìn)制計(jì)數(shù)器、二十進(jìn)制計(jì)數(shù)器、循環(huán)碼計(jì)數(shù)器等。移位存放型計(jì)數(shù)器:環(huán)形計(jì)數(shù)器,突出優(yōu)點(diǎn)為構(gòu)造簡(jiǎn)單,缺點(diǎn)是電路狀態(tài)沒(méi)有充分利

17、用。扭環(huán)形計(jì)數(shù)器,優(yōu)點(diǎn)是狀態(tài)利用率提高一倍,不存在競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象。時(shí)序邏輯電路的設(shè)計(jì)設(shè)計(jì)時(shí)序邏輯電路時(shí),其設(shè)計(jì)結(jié)果應(yīng)該力求簡(jiǎn)單。采用小規(guī)模集成電路時(shí),最簡(jiǎn)標(biāo)準(zhǔn)是觸發(fā)器和門電路數(shù)目最少,輸入端數(shù)目最少。采用規(guī)模集成電路時(shí),最簡(jiǎn)標(biāo)準(zhǔn)是集成電路數(shù)目最少,種類最少,互相連線最少。設(shè)計(jì)同步時(shí)序邏輯電路,按如下步驟進(jìn)展:1.名并寫入狀態(tài)轉(zhuǎn)換表;給定的邏輯問(wèn)題,確定輸入變量、輸出變量以及電路的狀態(tài)數(shù)。通常取條件作為輸入邏輯變量,結(jié)果為輸出邏輯變量。輸出邏輯狀態(tài)和每個(gè)電路狀態(tài)的含義,并將電路狀態(tài)順序編號(hào)。列出電路的狀態(tài)轉(zhuǎn)換表或畫出電路的狀態(tài)轉(zhuǎn)換圖。2.化簡(jiǎn),假設(shè)兩個(gè)電路狀態(tài)在一樣的輸入下有一樣的輸出,并且轉(zhuǎn)換到

18、同樣一個(gè)次態(tài)去,則稱這兩個(gè)狀態(tài)為等價(jià)狀態(tài)。顯然它們是重復(fù)的,可以合并為一個(gè),狀態(tài)化簡(jiǎn)的目的就是合并等價(jià)狀態(tài)以求得最簡(jiǎn)狀態(tài)轉(zhuǎn)換圖。3.分配,首先確定觸發(fā)器數(shù)目為n,時(shí)序電路所需M個(gè)狀態(tài)。必須取2n-1U-時(shí)輸出高電平,反之為低電平。根本RS觸發(fā)器是時(shí)基電路的核心,其輸出狀態(tài)由兩個(gè)比擬器的輸出電平?jīng)Q定,RD為復(fù)位端。輸出級(jí)在邏輯上等于Q端輸出。555時(shí)基電路的根本應(yīng)用分為三類。即多諧振蕩器、單穩(wěn)態(tài)觸發(fā)器和RS觸發(fā)器。施密特觸發(fā)器: 施密特觸發(fā)器是脈沖波形變換中常用的一種電路。它在性能上有兩個(gè)重要特點(diǎn):1. 輸入信號(hào)從低電平上升的過(guò)程中,電路狀態(tài)轉(zhuǎn)換時(shí)對(duì)應(yīng)的輸入電平,與輸入信號(hào)從高電平下降過(guò)程中對(duì)應(yīng)

19、的輸入電平不同。2. 在電路狀態(tài)轉(zhuǎn)換時(shí),通過(guò)電路部的正反應(yīng)過(guò)程使輸出電壓波形的邊沿變的很陡。在這里通過(guò)對(duì)實(shí)驗(yàn)原理的分析,結(jié)合數(shù)字實(shí)驗(yàn)教學(xué)課程安排,將數(shù)字實(shí)驗(yàn)時(shí)序邏輯局部分為以下四個(gè)實(shí)驗(yàn):實(shí)驗(yàn)一 觸發(fā)器電路及功能轉(zhuǎn)換實(shí)驗(yàn)二存放器、移位存放器和計(jì)數(shù)器實(shí)驗(yàn)三時(shí)序邏輯電路的設(shè)計(jì)實(shí)驗(yàn)四計(jì)數(shù)、譯碼和顯示第3章 NI multisim仿真制作NI Multisim軟件是一個(gè)專門用于電子電路仿真與設(shè)計(jì)的EDA工具軟件。作為 Windows 下運(yùn)行的個(gè)人桌面電子設(shè)計(jì)工具,NI Multisim 是一個(gè)完整的集成化設(shè)計(jì)環(huán)境。NI Multisim計(jì)算機(jī)仿真與虛擬儀器技術(shù)可以很好地解決理論教學(xué)與實(shí)際動(dòng)手實(shí)驗(yàn)相脫節(jié)的

20、這一問(wèn)題。學(xué)員可以很方便地把剛剛學(xué)到的理論知識(shí)用計(jì)算機(jī)仿真真實(shí)的再現(xiàn)出來(lái),并且可以用虛擬儀器技術(shù)創(chuàng)造出真正屬于自己的儀表。NI Multisim軟件絕對(duì)是電子學(xué)教學(xué)的首選軟件工具。NI Multisim的特點(diǎn):1直觀的圖形界面:整個(gè)操作界面就像一個(gè)電子實(shí)驗(yàn)工作臺(tái),繪制電路所需的元器件和仿真所需的測(cè)試儀器均可直接拖放到屏幕上,輕點(diǎn)鼠標(biāo)可用導(dǎo)線將它們連接起來(lái),軟件儀器的控制面板和操作方式都與實(shí)物相似,測(cè)量數(shù)據(jù)、波形和特性曲線如同在真實(shí)儀器上看到的; 2豐富的元器件:提供了世界主流元件提供商的超過(guò)17000多種元件,同時(shí)能方便的對(duì)元件各種參數(shù)進(jìn)展編輯修改,能利用模型生成器以及代碼模式創(chuàng)立模型等功能,

21、創(chuàng)立自己的元器件。 3強(qiáng)大的仿真能力4豐富的測(cè)試儀器5完備的分析手段:它們利用仿真產(chǎn)生的數(shù)據(jù)執(zhí)行分析,分析圍很廣,從根本的到極端的到不常見(jiàn)的都有,并可以將一個(gè)分析作為另一個(gè)分析的一局部的自動(dòng)執(zhí)行。集成LabVIEW和Signale*press快速進(jìn)展原型開(kāi)發(fā)和測(cè)試設(shè)計(jì),具有符合行業(yè)標(biāo)準(zhǔn)的交互式測(cè)量和分析功能。3.1Multisim的實(shí)際應(yīng)用1Jk觸發(fā)器的仿真分析圖3-1 Jk觸發(fā)器仿真測(cè)試電路元器件的選取:1.VCC:place sourcepower_sourceVCC2.:place sourcepower_sourceground,選取電路中的接地3.place electromecha

22、nicalsupplemenntory_cospdt_sb4.觸發(fā)器74LS76N: place ttl74LS74LS76N5.place indicatorprobeprobe_red6.儀器:信號(hào)發(fā)生器*FG1,雙擊翻開(kāi)設(shè)置對(duì)話框,講頻率設(shè)置為1kHz,波形設(shè)置為方波。表3-2 Jk觸發(fā)器功能表結(jié)合圖3-1和圖3-2進(jìn)展仿真分析:Reset為異步置0端,set異步置1端,都是低電平有效,所以要使jk觸發(fā)器工作,上述兩個(gè)控制端都要接高電平。受時(shí)鐘脈沖控制的觸發(fā)器使用時(shí)需要注意,時(shí)鐘觸發(fā)器有四種觸發(fā)方式:Cp=1期間均可觸發(fā),稱為高電平觸發(fā),Cp=0期間均可觸發(fā),稱為低電平觸發(fā),Cp由0變1

23、,上升沿觸發(fā),Cp由1變0,下降沿觸發(fā) 2 Jk觸發(fā)器構(gòu)成的同步十進(jìn)制減法計(jì)數(shù)器,電路設(shè)計(jì)分析1計(jì)數(shù)器的概況計(jì)數(shù)器的種類很多,特點(diǎn)各異。它的主要分類如下。按計(jì)數(shù)進(jìn)制分,二進(jìn)制、十進(jìn)制、任意進(jìn)制;按計(jì)數(shù)增減分加法計(jì)數(shù)器、減法計(jì)數(shù)器、加/減法計(jì)數(shù)器按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否同步分異步計(jì)數(shù)器和同步計(jì)數(shù)器2同步計(jì)數(shù)器的設(shè)計(jì)狀態(tài)圖設(shè)計(jì)計(jì)數(shù)器的第一步是創(chuàng)立一個(gè)狀態(tài)圖。一個(gè)狀態(tài)圖給出了計(jì)數(shù)器在時(shí)鐘的作用下?tīng)顟B(tài)行進(jìn)的歷程。例如圖3-3十進(jìn)制減法的狀態(tài)圖表3-3 十進(jìn)制減法的狀態(tài)圖次態(tài)表 一旦由狀態(tài)圖定義了時(shí)序電路,第二部就是推導(dǎo)出次態(tài)彪,列出計(jì)數(shù)器的每一個(gè)狀態(tài),十進(jìn)制減法的次態(tài)圖如下表3-4 真值表觸發(fā)器轉(zhuǎn)換表

24、表3-5 Jk觸發(fā)器的轉(zhuǎn)換表通過(guò)轉(zhuǎn)換表可以得到j(luò)k的每一個(gè)狀態(tài)表3-6卡諾圖 卡諾圖可以用來(lái)確定計(jì)數(shù)器中每個(gè)觸發(fā)器的j和k的輸入所需要的邏輯。每個(gè)觸發(fā)器的j和k輸入都有一個(gè)卡諾圖。表3-7 卡諾圖5觸發(fā)器輸入的邏輯表達(dá)式通過(guò)卡諾圖可以得出邏輯式。J3=2*1*0K2=0J2=Q30K2= QUOTE * MERGEFORMAT 1*0 (6)計(jì)數(shù)器的實(shí)現(xiàn) 圖3-8 十進(jìn)制減法的實(shí)驗(yàn)仿真第4章 實(shí)驗(yàn)報(bào)告4.1實(shí)驗(yàn)一 觸發(fā)器電路及功能轉(zhuǎn)換1實(shí)驗(yàn)?zāi)康模候?yàn)證根本觸發(fā)器的邏輯功能,總結(jié)測(cè)試方法。掌握觸發(fā)器的分類根本觸發(fā)器和時(shí)鐘觸發(fā)器。掌握時(shí)鐘觸發(fā)器不同邏輯功能之間的相互轉(zhuǎn)換。2實(shí)驗(yàn)設(shè)備:雙JK觸發(fā)器74

25、LS112,雙D觸發(fā)器74LS74,二輸入四與非門74LS00,六反相器74LS04,雙蹤示波器,信號(hào)發(fā)生器,萬(wàn)用表,數(shù)電實(shí)驗(yàn)箱。3實(shí)驗(yàn)容:邏輯功能驗(yàn)證:JK觸發(fā)器:選用下降沿觸發(fā)74LS112雙JK觸發(fā)器,管腳排列如圖4-1所示,按圖4-2接線,其中1Rd、1Sd、1J、1K分別接邏輯開(kāi)關(guān)K1、K2、K3、K4,1CP接單次脈沖,Q與Q分別接發(fā)光二極管,VCC和GND接5V電源的+和-。圖4-1 74LS112管腳排列組合圖4-2 JK觸發(fā)器驗(yàn)證電路圖D觸發(fā)器:選用上升沿觸發(fā)74LS74雙D觸發(fā)器,管腳排列如圖4-3所示,按圖4-4接線,其中1D、1Rd、1Sd 分別接邏輯開(kāi)關(guān)K1、K2、K

26、3,1CP接單次脈沖,輸出端Q與Q分別接發(fā)光二極管,VCC和GND接5V電源的+和-。圖4-3 74LS74排列圖圖4-4 D發(fā)器驗(yàn)證電路圖邏輯功能轉(zhuǎn)換:JKD: 畫出邏輯轉(zhuǎn)換電路,并用74LS112,74LS04來(lái)實(shí)現(xiàn)。測(cè)試轉(zhuǎn)換所得的D觸發(fā)器邏輯功能。將D端分別置0、1,加單個(gè)脈沖于CP端,觀察觸發(fā)器狀態(tài)并且記錄。測(cè)試異步置位端S及異步復(fù)位端R的功能。將S、R及D分別置位010、011、100、101等狀態(tài),觀察并記錄輸出。DJK:畫出邏輯轉(zhuǎn)換電路,并用74LS74,74LS00,74LS04來(lái)實(shí)現(xiàn)。測(cè)試轉(zhuǎn)換所得的JK觸發(fā)器邏輯功能。將JK觸發(fā)器輸入端JK分別置位00、01、10、11等狀態(tài)

27、,加單個(gè)脈沖于CP,觀察觸發(fā)器狀態(tài)并且記錄。波形觀察:將JK觸發(fā)器均連接成T觸發(fā)器,并將其連接成二進(jìn)制加法計(jì)數(shù)器。將二個(gè)觸發(fā)器均清零,加四個(gè)單脈沖于CP端,觀察觸發(fā)器狀態(tài)Q1、Q2并且記錄。在CP端參加頻率為1KHZ,幅度在4V的連續(xù)方波脈沖,用示波器觀察并繪出CP、Q1、Q2的波形,觀察時(shí)注意波形翻轉(zhuǎn)前后沿及上下時(shí)基須對(duì)準(zhǔn)。4 出現(xiàn)的問(wèn)題集成元件使用時(shí)應(yīng)先接電源后接信號(hào)。實(shí)驗(yàn)完畢后應(yīng)先撤除信號(hào)后斷電源。元件管腳相互不可短路,否則會(huì)損壞元件。當(dāng)Multisim中JK觸發(fā)器的元器件功能不對(duì),需要置零和置一端接一4.2實(shí)驗(yàn)二存放器、移位存放器和計(jì)數(shù)器1實(shí)驗(yàn)?zāi)康模候?yàn)證存放器和移位存放器的功能。熟悉移

28、位存放器的邏輯電路和工作原理。進(jìn)一步了解JK觸發(fā)器組成的各種單元電路。2實(shí)驗(yàn)設(shè)備:雙JK觸發(fā)器CC4027,雙蹤示波器,雙脈沖信號(hào)發(fā)生器,直流穩(wěn)壓電源,直流數(shù)字電壓表,萬(wàn)用表,數(shù)電實(shí)驗(yàn)箱。3實(shí)驗(yàn)容:同步移位存放器按圖3-5連接各級(jí)JK觸發(fā)器成移位存放器,JK觸發(fā)器上的Q1Q2Q3Q4分別與三極管相連,通過(guò)三極管驅(qū)動(dòng)發(fā)光二極管顯示,燈亮為高電平1,燈暗為低電平0,Rd為清零端。圖4-5 移位存放器檢查線路,無(wú)誤后接5V10V直流電壓,由信號(hào)發(fā)生器發(fā)出的脈沖作JK觸發(fā)器的CP計(jì)數(shù)脈沖,當(dāng)CP頻率為1HZ時(shí)借助指示燈用萬(wàn)用表或直流數(shù)字電壓表測(cè)出移位存放器Q1Q2Q3Q4 的電平值,根據(jù)電平值記錄邏輯

29、狀態(tài)。當(dāng)CP頻率為10KHZ時(shí),分別用示波器觀察JK觸發(fā)器Q1Q2Q3Q4 端的輸出波形,并對(duì)應(yīng)CP計(jì)數(shù)脈沖描繪下來(lái)。扭環(huán)移位存放器如圖4-5,將A、B兩端對(duì)調(diào)即為扭環(huán)移位存放器,步驟同前。通過(guò)實(shí)驗(yàn),描繪Q1Q2Q3Q4對(duì)應(yīng)CP波形,借助指示燈記錄邏輯狀態(tài)。二進(jìn)制計(jì)數(shù)器將JK觸發(fā)器按圖4-6接成二進(jìn)制異步計(jì)數(shù)器,在CP分別為低頻1HZ和高頻10KHZ時(shí)由發(fā)光二極管和示波器觀察計(jì)數(shù)器的狀態(tài),測(cè)出觸發(fā)器輸出電平值及繪出波形并記錄。圖4-6 二進(jìn)制異步計(jì)數(shù)器十進(jìn)制計(jì)數(shù)器將JK觸發(fā)器按圖4-7接成十進(jìn)制同步計(jì)數(shù)器,在CP分別為低頻1HZ和高頻10KHZ時(shí)由發(fā)光二極管和示波器觀察計(jì)數(shù)器的狀態(tài),測(cè)出觸發(fā)器

30、輸出電平值及繪出波形并記錄。圖4-7 十進(jìn)制同步加法計(jì)數(shù)器8421BCD碼異步計(jì)數(shù)器將JK觸發(fā)器按圖4-8接成8421BCD碼異步計(jì)數(shù)器,在CP分別為低頻1HZ和高頻10KHZ時(shí)由發(fā)光二極管和示波器觀察計(jì)數(shù)器的狀態(tài),測(cè)出觸發(fā)器輸出電平值及繪出波形并記錄。圖4-8 8421BCD碼異步計(jì)數(shù)器4 出現(xiàn)的問(wèn)題存放器工作前需要進(jìn)展清零操作,要找到Multisim中帶置位和清零的JK觸發(fā)器型號(hào),JK觸發(fā)器的狀態(tài)不僅和輸入的信號(hào)有關(guān),他有2個(gè)狀態(tài),一個(gè)是現(xiàn)在的狀態(tài),然后輸入信號(hào)后他又是一個(gè)狀態(tài)。4.3實(shí)驗(yàn)三 時(shí)序邏輯電路的設(shè)計(jì)1實(shí)驗(yàn)?zāi)康模杭由顚?duì)JK觸發(fā)器邏輯功能的了解。掌握用JK觸發(fā)器和與非門構(gòu)成N進(jìn)制加

31、、減計(jì)數(shù)器的原理和方法。2實(shí)驗(yàn)設(shè)備:雙JK觸發(fā)器CC4027,雙蹤示波器,雙脈沖信號(hào)發(fā)生器,直流穩(wěn)壓電源,直流數(shù)字電壓表,萬(wàn)用表,數(shù)電實(shí)驗(yàn)箱。3實(shí)驗(yàn)容:三位二進(jìn)制八進(jìn)制加法計(jì)數(shù)器 按圖4-9接線成八進(jìn)制加法計(jì)數(shù)器。將觸發(fā)器清零。參加八個(gè)單脈沖于CP端,觀察各觸發(fā)器狀態(tài)Q1、Q2、Q3的變化,并記錄。圖4-9 八進(jìn)制加法計(jì)數(shù)器同步三進(jìn)制減法計(jì)數(shù)器按圖4-10接線成三進(jìn)制減法計(jì)數(shù)器。將觸發(fā)器置1”。參加三個(gè)單脈沖于CP端,觀察各觸發(fā)器狀態(tài)的變化并記錄。用信號(hào)發(fā)生器在CP端參加頻率為1KHZ,幅值為4V的連續(xù)方波脈沖,且CP數(shù)大于3,用示波器觀察并繪出CP、Q2、Q1的波形。圖4-10 三進(jìn)制減法計(jì)

32、數(shù)器4考前須知:熟悉計(jì)數(shù)器的工作原理、構(gòu)造和功能,重點(diǎn)了解各輸入端的作用。4.4實(shí)驗(yàn)四 計(jì)數(shù)、譯碼和顯示1實(shí)驗(yàn)?zāi)康模赫莆沼?jì)數(shù),譯碼和顯示電路的工作原理。測(cè)試計(jì)數(shù)器74LS90,譯碼器74LS248的邏輯功能。運(yùn)用74LS90,74LS248和共陰極LED顯示器(2ES102)組成數(shù)字計(jì)數(shù)顯示單元。2實(shí)驗(yàn)設(shè)備:74LS90,74LS248,2ES102,示波器,信號(hào)發(fā)生器。3實(shí)驗(yàn)容:把74LS90接成二進(jìn)制計(jì)數(shù)器,用示波器觀察并記 錄時(shí)鐘脈沖(1KHZ)和輸出脈沖波形,并驗(yàn)證二進(jìn) 制計(jì)數(shù)器功能。把74LS90接成五進(jìn)制計(jì)數(shù)器重復(fù)先前的容。把74LS90接成8421碼十進(jìn)制計(jì)數(shù)器,記錄時(shí)鐘脈沖和QA,QB,QC,QD各點(diǎn)脈沖。把74LS248與2ES102連接成譯碼顯示電路,驗(yàn)證邏輯功能。把74LS90,74LS248與2ES102連接成計(jì)數(shù)譯碼顯示電

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論