數(shù)字電路課件:6-時(shí)序邏輯電路電子_第1頁
數(shù)字電路課件:6-時(shí)序邏輯電路電子_第2頁
數(shù)字電路課件:6-時(shí)序邏輯電路電子_第3頁
數(shù)字電路課件:6-時(shí)序邏輯電路電子_第4頁
數(shù)字電路課件:6-時(shí)序邏輯電路電子_第5頁
已閱讀5頁,還剩139頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第6章 時(shí)序邏輯電路學(xué)習(xí)要點(diǎn):時(shí)序邏輯電路的工作原理、分析方法和設(shè)計(jì)方法計(jì)數(shù)器、寄存器等中規(guī)模集成電路的工作原理和使用方法沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING6.1 概述6.2 時(shí)序邏輯電路的分析方法6.3 若干常用的時(shí)序邏輯電路6.4 時(shí)序邏輯電路的設(shè)計(jì)方法沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING6.1 時(shí)序邏輯電路概述一、時(shí)序電路的特點(diǎn) 時(shí)序邏輯電路在任何時(shí)刻的穩(wěn)定輸出,不僅與該時(shí)刻的輸入信號有關(guān),而且還與電路原來的狀態(tài)(以前的輸入)有關(guān),簡稱時(shí)序電路。時(shí)序電路:組合電路+觸發(fā)器電路的狀態(tài)與

2、時(shí)間順序有關(guān)由觸發(fā)器保存沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGaisi CI CO 1D C1bici-1ciQQCLK圖6.1.1 串行加法器電路 執(zhí)行ai、bi和ci-1三個(gè)數(shù)的相加運(yùn)算;存儲(chǔ)每次相加后的進(jìn)位結(jié)果。時(shí)序電路在電路結(jié)構(gòu)上的特點(diǎn):通常包含組合電路和存儲(chǔ)電路兩部分,存儲(chǔ)電路必不可少;存儲(chǔ)電路的輸出狀態(tài)必須反饋到組合電路的輸入端,與輸入信號一起共同決定組合邏輯電路的輸出。全加器:由觸發(fā)器構(gòu)成的存儲(chǔ)電路:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING二、時(shí)序電路邏輯功能的表示方法 時(shí)序電路的邏

3、輯功能可用邏輯函數(shù)式、狀態(tài)表、卡諾圖、狀態(tài)轉(zhuǎn)換圖、時(shí)序圖(波形圖)和邏輯圖6種方式表示,這些表示方法在本質(zhì)上是相同的,可以互相轉(zhuǎn)換。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING X(x1,x2,xi ) 輸入信號Y(y1,y2,yj ) 輸出信號Z(z1,z2,zk ) 存儲(chǔ)電路的輸入信號Q(q1,q2,ql ) 存儲(chǔ)電路的輸出信號aisi CI CO 1D C1bici-1ciQQCLK二、時(shí)序電路邏輯功能的表示方法沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING邏輯函數(shù)式:輸出方程狀態(tài)方程驅(qū)動(dòng)方程激勵(lì)方程沈

4、陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING三、時(shí)序電路的分類異步邏輯電路通常工作速度較慢,電路結(jié)構(gòu)簡單。1、根據(jù)時(shí)鐘分類(觸發(fā)器的動(dòng)作特點(diǎn)) 同步時(shí)序電路中,電路中所有觸發(fā)器的時(shí)鐘端是連在一起的,存儲(chǔ)電路的狀態(tài)轉(zhuǎn)換是在同一時(shí)刻同步進(jìn)行的。 同步邏輯電路通常工作速度較快,電路相對復(fù)雜。 異步時(shí)序電路中,電路中各個(gè)觸發(fā)器的時(shí)鐘端不是相連的,可能各不相同,也可能某一局部相同,存儲(chǔ)狀態(tài)的轉(zhuǎn)換是在不同時(shí)刻異步進(jìn)行的。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING 穆爾型時(shí)序電路的其輸出僅決定于電路的現(xiàn)態(tài),與電路當(dāng)前的輸

5、入無關(guān);或者根本就不存在獨(dú)立設(shè)置的輸出,而以電路的狀態(tài)直接作為輸出。2、根據(jù)輸出信號的特點(diǎn)分類 米利型時(shí)序電路的輸出不僅與現(xiàn)態(tài)有關(guān),而且還決定于電路當(dāng)前的輸入。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING電路圖時(shí)鐘方程、驅(qū)動(dòng)方程和輸出方程狀態(tài)方程狀態(tài)圖、狀態(tài)表或時(shí)序圖判斷電路邏輯功能2356.2 時(shí)序邏輯電路的分析方法時(shí)序電路的分析步驟:計(jì)算41 要找出給定時(shí)序電路的邏輯功能。即要找出電路的狀態(tài)和輸出的狀態(tài)在輸入變量和時(shí)鐘信號作用下的變化規(guī)律。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例1時(shí)鐘方程:輸出方

6、程:輸出僅與電路現(xiàn)態(tài)有關(guān),為穆爾型時(shí)序電路??墒∪ゲ粚憽r?qū)動(dòng)方程:1寫方程式沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING2求狀態(tài)方程JK觸發(fā)器的特性方程:將各觸發(fā)器的驅(qū)動(dòng)方程代入,即得電路的狀態(tài)方程(組):沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING3計(jì)算、列狀態(tài)表 用于描述時(shí)序電路狀態(tài)轉(zhuǎn)換全部過程的方法有狀態(tài)轉(zhuǎn)換表(狀態(tài)轉(zhuǎn)換真值表)、狀態(tài)轉(zhuǎn)換圖和時(shí)序圖等。 若將任何一組輸入變量及電路初態(tài)的取值代入狀態(tài)方程和輸出方程,可算出電路的次態(tài)和現(xiàn)態(tài)下的輸出值;以得到的次態(tài)作為新的初態(tài),和這時(shí)的輸入變量取值一起再代入

7、狀態(tài)方程和輸出方程進(jìn)行計(jì)算,又得到一組新的次態(tài)和輸出值。如此計(jì)算,把全部的計(jì)算結(jié)果列成真值表的形式,就得到狀態(tài)轉(zhuǎn)換表。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGCLK順序現(xiàn) 態(tài)次 態(tài)輸 出Q2 Q1 Q0Q2* Q1* Q0* Y0 0 00 0 10 1 01 0 01 0 11 1 01 1 10 0 10 1 11 0 10 0 00 1 01 0 01 1 000011000 1 11 1 103計(jì)算、列狀態(tài)表01150432沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGCLK的順序Y0123456

8、0 0 00 0 10 1 11 1 11 1 01 0 00 0 000000100121 0 10 1 01 0 1101沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING/0/0/0/0/0/14畫狀態(tài)圖、時(shí)序圖狀態(tài)轉(zhuǎn)換圖000001011111110100010101/0/1(a) 有效循環(huán)(b) 無效循環(huán)000010排列順序:輸入變量/輸出變量/Y沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING時(shí)序圖在時(shí)鐘脈沖序列作用下,電路狀態(tài)、輸出狀態(tài)隨時(shí)間變化的波形圖稱作時(shí)序圖。沈陽航空航天大學(xué)電子信息工程學(xué)院SYI

9、AE ELECTRONIC ENGINEERING5電路功能時(shí)序圖有效循環(huán)為6個(gè)狀態(tài),所以這是一個(gè)同步六進(jìn)制計(jì)數(shù)器。當(dāng)對第6個(gè)脈沖計(jì)數(shù)時(shí),計(jì)數(shù)器又重新從000開始計(jì)數(shù),并產(chǎn)生輸出Y1。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例2輸出方程:輸出與輸入有關(guān),為米利型(米萊型)時(shí)序電路。同步時(shí)序電路,時(shí)鐘方程省去。驅(qū)動(dòng)方程:1寫方程式沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING2求狀態(tài)方程T觸發(fā)器的特性方程:將各觸發(fā)器的驅(qū)動(dòng)方程代入,即得電路的狀態(tài)方程:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTR

10、ONIC ENGINEERINGYX輸 出次 態(tài)現(xiàn) 態(tài)輸 入11 11 013計(jì)算、列狀態(tài)表00 000 101 001 10 111 011 110 0110 01 1010 10 0011 00 11沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGQ1Q0X/Y45電路功能由狀態(tài)圖可以看出,當(dāng)輸入X 0時(shí),在時(shí)鐘脈沖CLK的作用下,電路的4個(gè)狀態(tài)按遞增規(guī)律循環(huán)變化,即:0001101100當(dāng)X1時(shí),在時(shí)鐘脈沖CLK的作用下,電路的4個(gè)狀態(tài)按遞減規(guī)律循環(huán)變化,即:0011100100可見,該電路既具有遞增計(jì)數(shù)功能,又具有遞減計(jì)數(shù)功能,是一個(gè)四進(jìn)制同步可

11、逆計(jì)數(shù)器。畫狀態(tài)圖時(shí)序圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGTTL電路例3:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4、狀態(tài)轉(zhuǎn)換表00000100102010030110410005101061101700000111110000沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING5、狀態(tài)轉(zhuǎn)換圖6、時(shí)序圖CLK7、邏輯功能七進(jìn)制加法計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例4:沈陽航空航天大學(xué)電子信息工程學(xué)

12、院SYIAE ELECTRONIC ENGINEERING(5)狀態(tài)轉(zhuǎn)換圖(4)列狀態(tài)轉(zhuǎn)換表(6)邏輯功能四進(jìn)制同步可逆計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步時(shí)序電路分析例:已知某同步時(shí)序電路的邏輯圖,試分析電路的邏輯功能。解:1、寫出各觸發(fā)器的控制函數(shù)和電路的輸出函數(shù)控制函數(shù):T1 = XQ1XT2 = XQ1輸出函數(shù):XQ1Q2Z = XQ2Q12、寫狀態(tài)方程T觸發(fā)器的特征方程為:將T1、 T2代入則得到兩個(gè)觸發(fā)器的狀態(tài)方程沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步時(shí)序電路分析3、

13、作出電路的狀態(tài)轉(zhuǎn)換表及狀態(tài)轉(zhuǎn)換圖描述輸入與狀態(tài)轉(zhuǎn)換關(guān)系的表格現(xiàn) 入X現(xiàn) 態(tài)Q2 Q1現(xiàn)控制入T2 T1次 態(tài)Q2* Q1*現(xiàn)輸出Z輸入:輸入信號、觸發(fā)器的輸入及現(xiàn)態(tài)量輸出:觸發(fā)器的次 態(tài)及組合輸出Z填表方法:0 00 100001 01 111110 00 11 01 10 00 0 0T1 = XT2 = XQ1Z = XQ2Q1X Q2 Q1 所有組合求T1T2Z 由狀態(tài)方程求Q2* Q1*T1 = XT2 = XQ10 1Z = XQ2Q10 0 1 0 10 00 1 00 00 1 10 00 1 01 10 1 10 10 0 01 11Q 1*=XQ1Q2*沈陽航空航天大學(xué)電子信

14、息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步時(shí)序電路分析現(xiàn) 入X現(xiàn) 態(tài)Q2 Q1現(xiàn)控制入T2 T1次 態(tài)Q2* Q1*現(xiàn)輸出Z0 00 100001 01 111110 00 11 01 10 000 00 100 10 10 001 00 001 10 001 01 101 10 100 01 11由狀態(tài)表繪出狀態(tài)圖電路狀態(tài)轉(zhuǎn)換條件轉(zhuǎn)換方向000110111/01/11/0X/Z1/00/00/00/00/0沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步時(shí)序電路分析由狀態(tài)圖得電路的邏輯功能:電路是一個(gè)可控模4計(jì)數(shù)器實(shí)現(xiàn)模

15、4加法計(jì)數(shù)X=0時(shí)保持原態(tài) 電路屬于米萊型、可控模4計(jì)數(shù)器電路輸出不僅取決于電路本身的狀態(tài),而且也與輸入變量X有關(guān)000110111/01/11/0X/Z1/00/00/00/00/0由狀態(tài)圖可以看出,當(dāng)輸入X 1時(shí),在時(shí)鐘脈沖CP的作用下,電路的4個(gè)狀態(tài)按遞增規(guī)律循環(huán)變化,即:0001101100 X端是控制端,時(shí)鐘脈沖作為計(jì)數(shù)脈沖輸入。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步時(shí)序電路分析4、作時(shí)序波形圖設(shè)初始狀態(tài)Q2Q1為00,輸入X 的序列為01111100111。X=1模4加計(jì)數(shù)X=0保持原態(tài)0100 1011100001001000

16、10 10X=1模4加計(jì)數(shù)0001 11在時(shí)鐘脈沖序列作用下,電路狀態(tài)、輸出狀態(tài)隨時(shí)間變化的波形圖。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步時(shí)序電路分析寫各觸發(fā)器的控制函數(shù)寫電路的輸出函數(shù)寫觸發(fā)器的狀態(tài)方程作狀態(tài)轉(zhuǎn)換表及狀態(tài)轉(zhuǎn)換圖作時(shí)序波形圖得到電路的邏輯功能同步時(shí)序電路的分析方法簡單的電路可直接繪出狀態(tài)轉(zhuǎn)換圖無要求可不畫沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING6.3.1 寄存器和移位寄存器6.3.2 計(jì)數(shù)器6.3 若干常用的時(shí)序邏輯電路沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRO

17、NIC ENGINEERING 在數(shù)字電路中,用來存放一組二進(jìn)制數(shù)據(jù)或代碼的電路稱為寄存器。需用n個(gè)觸發(fā)器來構(gòu)成。十分靈活,用6.3.1 寄存器和移位寄存器寄存器是由具有存儲(chǔ)功能的觸發(fā)器組合起來構(gòu)成的。一個(gè)觸發(fā)器可以存儲(chǔ)1位二進(jìn)制代碼,存放一組n位二進(jìn)制代碼的寄存器, 按照功能的不同,可將寄存器分為基本寄存器和移位寄存器兩大類?;炯拇嫫髦荒懿⑿兴腿霐?shù)據(jù),需要時(shí)也只能并行輸出。移位寄存器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右移或左移,數(shù)據(jù)既可以并行輸入、并行輸出,也可以串行輸入、串行輸出,還可以并行輸入、串行輸出,串行輸入、并行輸出,途也很廣。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELE

18、CTRONIC ENGINEERING一、 基本寄存器1、單拍工作方式基本寄存器 無論寄存器中原來的內(nèi)容是什么,只要送數(shù)控制時(shí)鐘脈沖CLK上升沿到來,加在并行數(shù)據(jù)輸入端的數(shù)據(jù)D0D3,就立即被送入寄存器中,即有:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING2、雙拍工作方式基本寄存器(1)清零。CR=0,異步清零。即有:(2)送數(shù)。CR=1時(shí),CLK上升沿送數(shù)。即有:(3)保持。在CR=1、CLK上升沿以外時(shí)間,寄存器內(nèi)容將保持不變。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING74HC175的邏輯圖74LS7

19、5的邏輯圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING二、 移位寄存器1、單向移位寄存器并行輸出4位右移移位寄存器時(shí)鐘方程:驅(qū)動(dòng)方程:狀態(tài)方程:在CP脈沖的作用下,低位觸發(fā)器的狀態(tài)送給高位,做高位的次態(tài)輸出沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING欲存入數(shù)碼1011,1011采用串行輸入,只有一個(gè)數(shù)據(jù)輸入端?解決的辦法:在 CP脈沖的作用下 ,依次送入數(shù)碼右移寄存器:先送高位,后送低位左移寄存器:先送低位,后送高位由于該電路為一右移寄存器,數(shù)碼輸入順序?yàn)椋?011沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE

20、 ELECTRONIC ENGINEERINGCPQ4 Q3 Q2 Q1欲存入數(shù)碼1011即Q1Q2Q3Q4= 101111(D1) 20(D2) 1(D1) 31(D3) 0(D2) 1(D1) 41(D4) 1(D3) 0(D2) 1(D1) 1011沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING用JK觸發(fā)器構(gòu)成的移位寄存器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING并行輸出4位左移移位寄存器時(shí)鐘方程:驅(qū)動(dòng)方程:狀態(tài)方程:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERI

21、NG沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING單向移位寄存器具有以下主要特點(diǎn):(3)若串行輸入端狀態(tài)為0,則n個(gè)CLK脈沖后,寄存器便被清零。(2)n位單向移位寄存器可以寄存n位二進(jìn)制代碼。n個(gè)CLK脈沖即可完成串行輸入工作,此后可從Q0Qn-1端獲得并行的n位二進(jìn)制數(shù)碼,再用n個(gè)CLK脈沖又可實(shí)現(xiàn)串行輸出操作。(1)單向移位寄存器中的數(shù)碼,在CLK脈沖操作下,可以依次右移或左移。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING二、四位單向移位寄存器CT741951、清零:R = 0時(shí),輸出為“0000”2、

22、送數(shù):R = 1,SH/LD = 0時(shí),當(dāng)CP 時(shí),執(zhí)行并行送數(shù)3、右移:R = 1,SH/LD = 1時(shí),CP 時(shí),執(zhí)行右移: Q0由JK決定, Q0Q1, Q1Q2 ,Q2Q3(二) 功能(一)邏輯符號沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING0231 Q Q Q QCT74195功能表輸入輸出 1 0 d0 d3 0 0 0 0 1 0 30 DR CP LDSH D J d0 d1 d2 d3 Q QQQ 1 0 1 00 10 20 30 1 1 0 1 Q0n Q0n Q1n Q2n 1 1 0 0 0 Q0n Q1n Q2n 1 1

23、1 1 1 Q0n Q1n Q2n 1 1 1 0 Q0n Q1n Q2n 沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING單向移位寄存器具有以下主要特點(diǎn):(3)若串行輸入端狀態(tài)為0,則n個(gè)CLK脈沖后,寄存器便被清零。(2)n位單向移位寄存器可以寄存n位二進(jìn)制代碼。n個(gè)CLK脈沖即可完成串行輸入工作,此后可從Q0Qn-1端獲得并行的n位二進(jìn)制數(shù)碼,再用n個(gè)CLK脈沖又可實(shí)現(xiàn)串行輸出操作。(1)單向移位寄存器中的數(shù)碼,在CLK脈沖操作下,可以依次右移或左移。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位雙向移

24、位寄存器74LS194A的邏輯圖工作模式選擇2、雙向移位寄存器00Q1Q1Q1Q1保持沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位雙向移位寄存器74LS194A的邏輯圖工作模式選擇11D1D1D1D1并行輸入2、雙向移位寄存器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位雙向移位寄存器74LS194A的邏輯圖工作模式選擇01Q0Q0Q0Q0右移2、雙向移位寄存器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位雙向移位寄存器74LS194A的邏輯圖工作模式選擇

25、10Q2Q2Q2Q2左移2、雙向移位寄存器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING寄存器的應(yīng)用(二)環(huán)形計(jì)數(shù)器(一)數(shù)據(jù)轉(zhuǎn)換(三)扭環(huán)形計(jì)數(shù)器(四)分頻器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING(一)七位串行 并行轉(zhuǎn)換1、串行并行R清0后,串行送數(shù)據(jù)。Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 CP0 a7 0 1 1 1 1 1 11 a6 a7 0 1 1 1 1 1 2 a5 a6 a7 0 1 1 1

26、 13 a4 a5 a6 a7 0 1 1 1 4 a3 a4 a5 a6 a7 0 1 15 a2 a3 a4 a5 a6 a7 0 1 6 a1 a2 a3 a4 a5 a6 a7 0沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING七位串行并行轉(zhuǎn)換2、并行串行R清0后,并行送數(shù)據(jù)。Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7CP0 0 a0 a1 a2 a3 a4 a5 a61 1 0 a0 a1 a2 a3 a4 a52 1 1 0 a0 a1 a2 a3 a43 1 1 1 0 a0 a1 a2 a34 1 1 1 1 0 a0 a1 a25

27、1 1 1 1 1 0 a0 a16 1 1 1 1 1 1 0 a0D0 D6 分別為a0 a6 沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例1:用CT74195構(gòu)成M = 4 的環(huán)形計(jì)數(shù)器 態(tài)序表 注意:1、電路除了有效計(jì)數(shù)循環(huán)外,還有五個(gè)無效循環(huán)2、不能自啟動(dòng)3、工作時(shí)首先在SH/LD加啟動(dòng)信號進(jìn)行預(yù)置環(huán)形計(jì)數(shù)器:將移位寄存器首尾相接,在連續(xù)不斷地輸入時(shí)鐘信號時(shí)寄存器里的數(shù)據(jù)將循環(huán)右移沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING環(huán)形計(jì)數(shù)器設(shè)計(jì)1、連接方法:將移位寄存器的最后一級輸出Q反饋到第一級的、

28、K輸入端2、判斷觸發(fā)器個(gè)數(shù):環(huán)形計(jì)數(shù)器的模為Mn(n為移位寄存器的位數(shù))沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING注意:1、 電路除了有效計(jì)數(shù)循環(huán)外,還有一個(gè)無效循環(huán)2、不能自啟動(dòng)3、工作時(shí)首先在R加啟動(dòng)信號進(jìn)行清零 態(tài)序表 Q0 Q1 Q2 Q 3 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 1 1 1 0 0 1 1 0 0 0 1例1:M = 8的扭環(huán)形計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING扭環(huán)形計(jì)數(shù)器設(shè)計(jì)1、連接方法:將移位寄存器的最后一級輸出Q

29、經(jīng)反相器后反饋到第一級的J、K輸入端2、判斷觸發(fā)器個(gè)數(shù):扭環(huán)形計(jì)數(shù)器的模為M = 2n (n為移位寄存器的位數(shù))沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING分 頻 器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING本節(jié)小結(jié)寄存器是用來存放二進(jìn)制數(shù)據(jù)或代碼的電路,是一種基本時(shí)序電路。任何現(xiàn)代數(shù)字系統(tǒng)都必須把需要處理的數(shù)據(jù)和代碼先寄存起來,以便隨時(shí)取用。寄存器分為基本寄存器和移位寄存器兩大類?;炯拇嫫鞯臄?shù)據(jù)只能并行輸入、并行輸出。移位寄存器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右移或左移,數(shù)據(jù)可以并行輸入、并行輸出

30、,串行輸入、串行輸出,并行輸入、串行輸出,串行輸入、并行輸出。寄存器的應(yīng)用很廣,特別是移位寄存器,不僅可將串行數(shù)碼轉(zhuǎn)換成并行數(shù)碼,或?qū)⒉⑿袛?shù)碼轉(zhuǎn)換成串行數(shù)碼,還可以很方便地構(gòu)成移位寄存器型計(jì)數(shù)器和順序脈沖發(fā)生器等電路。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING一、同步二進(jìn)制計(jì)數(shù)器二、 同步十進(jìn)制計(jì)數(shù)器三、 任意進(jìn)制計(jì)數(shù)器的構(gòu)成方法 6.3.2 計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)轉(zhuǎn)換圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING 在數(shù)字電路中,

31、能夠記憶輸入脈沖個(gè)數(shù)的電路稱為計(jì)數(shù)器。計(jì)數(shù)器二進(jìn)制計(jì)數(shù)器十進(jìn)制計(jì)數(shù)器N進(jìn)制計(jì)數(shù)器加法計(jì)數(shù)器同步計(jì)數(shù)器異步計(jì)數(shù)器減法計(jì)數(shù)器可逆計(jì)數(shù)器加法計(jì)數(shù)器減法計(jì)數(shù)器可逆計(jì)數(shù)器二進(jìn)制計(jì)數(shù)器十進(jìn)制計(jì)數(shù)器N進(jìn)制計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING計(jì)數(shù)器的分類表沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING計(jì)數(shù)器是一種應(yīng)用十分廣泛的時(shí)序電路,除用于計(jì)數(shù)、分頻外,還廣泛用于數(shù)字測量、運(yùn)算和控制,從小型數(shù)字儀表,到大型數(shù)字電子計(jì)算機(jī),幾乎無所不在,是任何現(xiàn)代數(shù)字系統(tǒng)中不可缺少的組成部分。計(jì)數(shù)器可利用觸發(fā)器和門電路構(gòu)成。但

32、在實(shí)際工作中,主要是利用集成計(jì)數(shù)器來構(gòu)成。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位二進(jìn)制同步加法計(jì)數(shù)器一、同步二進(jìn)制計(jì)數(shù)器1、加法計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGT1FF1Q1T2FF2Q2T3FF3Q3T0 選用4個(gè)CLK下降沿觸發(fā)的T觸發(fā)器。 1 0 1 1+ 1 1 1 0 0 控制輸入端T的狀態(tài)計(jì)數(shù)脈沖CLKT0=1沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGT1FF1Q1T2FF2Q2T3FF3Q3T0 選用4個(gè)CLK下降沿觸發(fā)

33、的T觸發(fā)器。 控制輸入端T的狀態(tài)T0=1 控制時(shí)鐘信號T1=1T2=1T3=1 1 0 1 1+ 1 1 1 0 0 沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGT1FF1Q1T2FF2Q2T3FF3Q3T0 選用4個(gè)CLK下降沿觸發(fā)的T觸發(fā)器。 控制輸入端T的狀態(tài)計(jì)數(shù)脈沖CLKT0=1 第i位觸發(fā)器輸入端的邏輯式應(yīng)為 1 0 1 1+ 1 1 1 0 0 沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING用 T觸發(fā)器構(gòu)成的同步二進(jìn)制加法器 1 0 1 1+ 1 1 1 0 0 選用4個(gè)CLK下降沿觸發(fā)的T觸發(fā)器

34、。 控制輸入端T的狀態(tài) 第i位觸發(fā)器輸入端的邏輯式應(yīng)為沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING用 T觸發(fā)器構(gòu)成的同步二進(jìn)制加法器各觸發(fā)器的驅(qū)動(dòng)方程:代入T觸發(fā)器的特性方程得到狀態(tài)方程:電路的輸出方程:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)轉(zhuǎn)換表計(jì)數(shù)順序電路狀態(tài)等效十進(jìn)制數(shù)進(jìn)位輸出CQ3 Q2 Q1 Q00123456789101112131415160 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0

35、 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 00123456789101112131415000000000000000010利用C端電位的下降沿可作為向高位計(jì)數(shù)器進(jìn)位的輸出信號沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)轉(zhuǎn)換圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位同步二進(jìn)制加法器的時(shí)序圖 若計(jì)數(shù)輸入脈沖的頻率為f0,則Q0、Q1、Q2和Q3端輸出脈沖的頻率將依次為f0/2、f0/4、f0/8和f0/16,因此稱計(jì)數(shù)器為分頻器。 計(jì)數(shù)器能計(jì)到的最大

36、數(shù)稱為計(jì)數(shù)器的容量,等于計(jì)數(shù)器所有各位全為1時(shí)的數(shù)值。n位二進(jìn)制計(jì)數(shù)器的容量等于2n-1。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGD0D1D2D3Q0Q1Q2Q3LDCLKEPETRDC4位同步二進(jìn)制計(jì)數(shù)器74161的邏輯圖CLKCLK上升沿觸發(fā)沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGD0D1D2D3Q0Q1Q2Q3LDCLKEPETRDC4位同步二進(jìn)制計(jì)數(shù)器74161的邏輯圖0RD0000RD為異步置零(復(fù)位)端CLK上升沿觸發(fā)沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC EN

37、GINEERINGD0D1D2D3Q0Q1Q2Q3LDCLKEPETRDC4位同步二進(jìn)制計(jì)數(shù)器74161的邏輯圖LD為同步預(yù)置數(shù)控制端1D0 當(dāng)RD=1、LD=0時(shí),電路工作在同步預(yù)置數(shù)狀態(tài)。RD為異步置零(復(fù)位)端1RD0LDD0D0D0CLK上升沿觸發(fā)沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGD0D1D2D3Q0Q1Q2Q3LDCLKEPETRDCEP和ET為工作狀態(tài)控制端4位同步二進(jìn)制計(jì)數(shù)器74161的邏輯圖保持LD為同步預(yù)置數(shù)控制端RD為異步置零(復(fù)位)端CLK上升沿觸發(fā)C為進(jìn)位輸出端1RD1LD1ET0EP00000保持Q0C沈陽航空航天

38、大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGEP和ET為工作狀態(tài)控制端LD為同步預(yù)置數(shù)控制端RD為異步置零(復(fù)位)端CLK上升沿觸發(fā)C為進(jìn)位輸出端D0D1D2D3Q0Q1Q2Q3LDCLKEPETRDC1RD1LD0ETEP00000保持Q0C4位同步二進(jìn)制計(jì)數(shù)器74161的邏輯圖0 RD= LD= 1且ETEP=0時(shí),計(jì)數(shù)器狀態(tài)保持不變沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGD0D1D2D3Q0Q1Q2Q3LDCLKEPETRDCQ04位同步二進(jìn)制計(jì)數(shù)器74161的邏輯圖 當(dāng)RD=LD= EP=ET=1時(shí),電路工

39、作在計(jì)數(shù)狀態(tài)EP和ET為工作狀態(tài)控制端LD為同步預(yù)置數(shù)控制端RD為異步置零(復(fù)位)端CLK上升沿觸發(fā)C為進(jìn)位輸出端1RD1LD1ET1EP1011111翻轉(zhuǎn)Q011Q0Q0Q0 RD= LD= 1且ETEP=0時(shí),計(jì)數(shù)器狀態(tài)保持不變沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING 1 1 1 1 計(jì)數(shù) 1 1 0 保持(但C=0)4位同步二進(jìn)制計(jì)數(shù)器74161的功能表工作狀態(tài)ETEPLDRDCLK 0 異步置零 1 0 同步預(yù)置數(shù) 1 1 0 1 保持沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位集成二進(jìn)制同

40、步加法計(jì)數(shù)器74(LS)161/163 74LS163的引腳排列和74LS161相同,不同之處是74LS163采用同步清零方式。當(dāng)R = 0時(shí),只有當(dāng)CLK 的上升沿來到時(shí), 輸出QDQCQBQA 才被全部清零沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4位二進(jìn)制同步減法計(jì)數(shù)器2、減法計(jì)數(shù)器 控制輸入端T的狀態(tài):1J CI1K1J CI1KFF0FF11J CI1K1J CI1KFF2FF3Q0Q1Q2Q3BG3T1T2T3G1G2T0=1CLK計(jì)數(shù)脈沖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING十六進(jìn)制同

41、步加/減(可逆)計(jì)數(shù)器輸出方程 設(shè)用U/D表示加減控制信號,且U/ D0時(shí)作加計(jì)數(shù), U/ D 1時(shí)作減計(jì)數(shù),則把二進(jìn)制同步加法計(jì)數(shù)器的驅(qū)動(dòng)方程和(U/ D)相與,把減法計(jì)數(shù)器的驅(qū)動(dòng)方程和U/ D相與,再把二者相加,便可得到二進(jìn)制同步可逆計(jì)數(shù)器的驅(qū)動(dòng)方程。3、可逆計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步十六進(jìn)制加/減計(jì)數(shù)器74191的功能表 0 1 1 減法計(jì)數(shù) 0 1 0 加法計(jì)數(shù)CLKI工作狀態(tài)U/DLDS 1 1 保持 0 異步預(yù)置數(shù)沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING四位二進(jìn)制

42、可逆計(jì)數(shù)器CT74193D A:高位低位CPU ,CPD :雙時(shí)鐘輸入R: 異步清零,高電平有效LD: 異步預(yù)置,低電平有效QD QA:高位低位(一)邏輯符號加到最大值時(shí)產(chǎn)生進(jìn)位信號QCC=0減到最小值時(shí)產(chǎn)生借位信號QCB=0沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING四位二進(jìn)制可逆計(jì)數(shù)器CT74193 輸 入 輸 出CPU CPD RLD A B C D QAQB QC QD 1 0 000 0 0 A B C D ABC D 1 0 1 加 法計(jì) 數(shù) 1 0 1 減 法計(jì) 數(shù) 1 1 0 1 保持 CT74193功能表 沈陽航空航天大學(xué)電子信息工

43、程學(xué)院SYIAE ELECTRONIC ENGINEERING二、同步十進(jìn)制計(jì)數(shù)器1、加法計(jì)數(shù)器00111001沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING0 0 0 00 0 0 00 1 1 00 1 1 00 1 0 00 1 0 00 0 1 00 0 1 0沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步十進(jìn)制加法計(jì)數(shù)器狀態(tài)轉(zhuǎn)換圖110111001111111010111010能夠自啟動(dòng)0000000100100011010001010110011110001001/0/0/0/0/0/0/0/0

44、/1/0/0/1/0/1/0/1/C沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING同步十進(jìn)制加法計(jì)數(shù)器74160的邏輯圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING7416074162十進(jìn)制:7416174163十六進(jìn)制:異步清零同步清零7419074192十進(jìn)制:7419174193十六進(jìn)制:單時(shí)鐘雙時(shí)鐘集成同步加/減可逆計(jì)數(shù)器集成同步加法計(jì)數(shù)器同步預(yù)置數(shù)異步預(yù)置數(shù)沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING三、 任意進(jìn)制計(jì)數(shù)器的構(gòu)成方法 只能用已有的計(jì)數(shù)器產(chǎn)品經(jīng)

45、過外電路的不同連接方式得到。 設(shè)已有的是N進(jìn)制計(jì)數(shù)器,需要得到的是M進(jìn)制計(jì)數(shù)器。分MN兩種情況討論: 已有的計(jì)數(shù)器產(chǎn)品有十進(jìn)制、十六進(jìn)制、7位二進(jìn)制、12位二進(jìn)制和14位二進(jìn)制等。在需要其他任意一種進(jìn)制的計(jì)數(shù)器時(shí),沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING1、MN的情況用多位N進(jìn)制計(jì)數(shù)器組合起來,構(gòu)成M進(jìn)制計(jì)數(shù)器。各片之間的連接方式分為串行進(jìn)位方式并行進(jìn)位方式整體置零方式整體置數(shù)方式若M可以分解為兩個(gè)小于N的因數(shù)相乘,即M=N1N2,可采用串行進(jìn)位方式或并行進(jìn)位方式將一個(gè)N1進(jìn)制計(jì)數(shù)器和一個(gè)N2進(jìn)制計(jì)數(shù)器連接成M進(jìn)制計(jì)數(shù)器。在串行進(jìn)位方式中,以低位

46、片的進(jìn)位輸出信號作為高位片的時(shí)鐘輸入信號(CLK)。在并行進(jìn)位方式中,以低位片的進(jìn)位輸出信號作為高位片的工作狀態(tài)控制信號(計(jì)數(shù)的使能信號EP、ET),兩片的CLK輸入端同時(shí)接計(jì)數(shù)輸入信號。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例:試用兩片同步十進(jìn)制計(jì)數(shù)器接成百位制計(jì)數(shù)器。解:M=100, N1=N2=10,將兩片74160直接按并行進(jìn)位方式或串行進(jìn)位方式連接即得百位制計(jì)數(shù)器。并行進(jìn)位方式1片的進(jìn)位輸出C作為2片的EP和ET輸入。當(dāng)1片計(jì)成1001時(shí)C變?yōu)?,下個(gè)CLK信號到達(dá)時(shí)2片為計(jì)數(shù)工作狀態(tài),計(jì)入1,而1片計(jì)成0000,它的C端回到低電平。

47、1片的EP和ET恒為1,始終處于計(jì)數(shù)工作狀態(tài)。CLKCLKCLK沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING串行進(jìn)位方式1片每計(jì)到1001,C端輸出高電平,經(jīng)反相器后使2片的CLK端為低電平。下個(gè)計(jì)數(shù)輸入脈沖到達(dá)后,1片計(jì)成0000狀態(tài),C端跳回低電平,經(jīng)反相后使2片的輸入端產(chǎn)生一個(gè)正跳變,2片計(jì)入1。在此接法下,兩片74160不是同步工作的。CLKCLKCLK沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING當(dāng)N1、 N2不等于N時(shí),先將兩個(gè)N進(jìn)制計(jì)數(shù)器分別接成N1進(jìn)制計(jì)數(shù)器和N2進(jìn)制計(jì)數(shù)器,然后再以并行進(jìn)位方

48、式或串行進(jìn)位方式將它們連接。當(dāng)M為大于N的素?cái)?shù)時(shí),不能分解成N1和N2 ,必須采用整體置零方式或整體置數(shù)方式構(gòu)成M進(jìn)制計(jì)數(shù)器。整體置零方式將兩片N進(jìn)制計(jì)數(shù)器按簡單方式接成一個(gè)大于M進(jìn)制的計(jì)數(shù)器(如NN進(jìn)制),然后在計(jì)數(shù)器計(jì)為M狀態(tài)時(shí)譯出異步置零信號RD=0,將兩片N進(jìn)制計(jì)數(shù)器同時(shí)置零。整體置數(shù)方式將兩片N進(jìn)制計(jì)數(shù)器按簡單方式接成一個(gè)大于M進(jìn)制的計(jì)數(shù)器(如NN進(jìn)制),然后在選定的某一狀態(tài)下譯出LD=0,將兩片N進(jìn)制計(jì)數(shù)器同時(shí)置入適當(dāng)?shù)臄?shù)據(jù),跳過多余的狀態(tài),獲得M進(jìn)制計(jì)數(shù)器。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例:用兩片同步十進(jìn)制計(jì)數(shù)器74160

49、接成二十九進(jìn)制計(jì)數(shù)器。整體置零方式解:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING整體置數(shù)方式沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例1:用CT74193設(shè)計(jì)M=147 計(jì)數(shù)器方法一:采用異步清零、加法計(jì)數(shù)M = (147)10 =(1001 0011)2需要兩片CT741931001110000000000沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING方法二:采用減法計(jì)數(shù),異步預(yù)置,利用QCB端M = (147)10 =(1001 0011)21001110

50、011001001例1:用CT74193設(shè)計(jì)M = 147 計(jì)數(shù)器沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING6.4 時(shí)序邏輯電路的設(shè)計(jì)方法 當(dāng)選用小規(guī)模集成電路做設(shè)計(jì)時(shí),電路最簡的標(biāo)準(zhǔn)是所用的觸發(fā)器和門電路的數(shù)目最少,而且觸發(fā)器和門電路的輸入端數(shù)目也最少。 當(dāng)選用中、大規(guī)模集成電路做設(shè)計(jì)時(shí),電路最簡的標(biāo)準(zhǔn)是使用的集成電路的數(shù)目最少,種類最少,而且互相間的連線也最少。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING設(shè)計(jì)要求原始狀態(tài)圖畫電路圖檢查電路能否自啟動(dòng)46時(shí)序電路的設(shè)計(jì)步驟:選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、

51、驅(qū)動(dòng)方程51狀態(tài)分配32化簡最簡狀態(tài)圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING1邏輯抽象,得出電路的狀態(tài)轉(zhuǎn)換圖或狀態(tài)轉(zhuǎn)換表將要求實(shí)現(xiàn)的邏輯功能表示為時(shí)序邏輯函數(shù)。 分析給定的邏輯問題,確定輸入、輸出變量以及電路的狀態(tài)數(shù); 定義輸入、輸出邏輯狀態(tài)和每個(gè)電路狀態(tài)的含義,并將電路狀態(tài)順序編號; 按題意列出電路的狀態(tài)轉(zhuǎn)換表或畫出電路的狀態(tài)轉(zhuǎn)換圖。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING2狀態(tài)化簡 若兩個(gè)電路狀態(tài)在相同的輸入下有相同的輸出,并且轉(zhuǎn)換到同樣一個(gè)次態(tài),則稱這兩個(gè)狀態(tài)為等價(jià)狀態(tài)。 狀態(tài)化簡的目的是將

52、等價(jià)狀態(tài)合并,以求得最簡的狀態(tài)轉(zhuǎn)換圖。 沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING3狀態(tài)分配又稱狀態(tài)編碼 由時(shí)序電路所需的M個(gè)狀態(tài)確定觸發(fā)器的數(shù)目n 2n-1M2n; 狀態(tài)編碼給每個(gè)電路狀態(tài)規(guī)定對應(yīng)的觸發(fā)器狀態(tài)組合。4選定觸發(fā)器類型,求出電路的狀態(tài)方程、驅(qū)動(dòng)方程和輸出方程 應(yīng)力求減少使用的觸發(fā)器種類。5畫出邏輯圖6檢查設(shè)計(jì)的電路是否自啟動(dòng)沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING例1建立原始狀態(tài)圖設(shè)計(jì)一個(gè)按自然態(tài)序變化的十三進(jìn)制同步加法計(jì)數(shù)器,計(jì)數(shù)規(guī)則為逢十三進(jìn)一,產(chǎn)生一個(gè)進(jìn)位輸出。沒有輸入邏輯變量,只

53、有輸出邏輯變量C,規(guī)定有進(jìn)位輸出時(shí)C=1,無進(jìn)位輸出時(shí)C=0。有13個(gè)有效狀態(tài)S0 S12 。沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)化簡2狀態(tài)分配3已經(jīng)最簡。 M=13,取觸發(fā)器數(shù)目為n=4,因?yàn)?231324; 取自然二進(jìn)制數(shù)的00001100作為S0 S12的編碼,得到狀態(tài)轉(zhuǎn)換表沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)轉(zhuǎn)換表狀態(tài)變化順序狀態(tài)編碼進(jìn)位輸出C等效十進(jìn)制數(shù)Q3 Q2 Q1 Q0S0S1S2S3S4S5S6S7S8S9S10S11S12S00 0 0 00 0 0 10 0 1

54、 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 00 0 0 00000000000001001234567891011120沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、驅(qū)動(dòng)方程因需用4位二進(jìn)制代碼,選用4個(gè)CLK下降沿觸發(fā)的JK觸發(fā)器,分別用FF0、FF1、FF2 、FF3表示。由于要求采用同步方案,故時(shí)鐘方程為:表示電路次態(tài)/進(jìn)位輸出C與電路現(xiàn)態(tài)關(guān)系的卡諾圖沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC

55、ENGINEERING沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)方程:輸出方程:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING狀態(tài)方程:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING比較,得驅(qū)動(dòng)方程:沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING1

56、J1KC1FF0Q01J1KC1FF1Q11J1KC1FF2Q21J1KC1FF3Q3CCLK1Q3畫電路圖5沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING檢查電路能否自啟動(dòng)6 將3個(gè)無效狀態(tài)1101、1110和1111代入狀態(tài)方程計(jì)算,所得次態(tài)分別為0010、0010和0000,電路能夠自啟動(dòng)。/C沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING 設(shè)計(jì)一個(gè)串行數(shù)據(jù)檢測電路,當(dāng)連續(xù)輸入3個(gè)或3個(gè)以上1時(shí),電路的輸出為1,其它情況下輸出為0。例如:例建立原始狀態(tài)圖1 取輸入數(shù)據(jù)為輸入變量,用X表示;取檢測結(jié)果為輸出

57、變量,用Y表示。 設(shè)電路在沒有輸入1以前的狀態(tài)為S0,輸入一個(gè)1以后的狀態(tài)為S1,輸入兩個(gè)1以后的狀態(tài)為S2,連續(xù)輸入3個(gè)或3個(gè)以上1以后的狀態(tài)為S3 。0輸入X101100111011110輸出Y00000000100011沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERINGS0S1S2S3設(shè)電路開始處于初始狀態(tài)為S0。第一次輸入1時(shí),由狀態(tài)S0轉(zhuǎn)入狀態(tài)S1,并輸出0;1/0X/Y若繼續(xù)輸入1,由狀態(tài)S1轉(zhuǎn)入狀態(tài)S2,并輸出0;1/0如果仍接著輸入1,由狀態(tài)S2轉(zhuǎn)入狀態(tài)S3,并輸出1;1/1此后若繼續(xù)輸入1,電路仍停留在狀態(tài)S3,并輸出1。1/1電路無論處

58、在什么狀態(tài),只要輸入0,都應(yīng)回到初始狀態(tài),并輸出0,以便重新計(jì)數(shù)。0/00/00/00/0狀態(tài)轉(zhuǎn)換表S3S2S1S0S3/1S3/1S2/0S1/01S0/0S0/0S0/0S0/00SS*/YX沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING原始狀態(tài)圖中,凡是在輸入相同時(shí),輸出相同、要轉(zhuǎn)換到的次態(tài)也相同的狀態(tài),稱為等價(jià)狀態(tài)。狀態(tài)化簡就是將多個(gè)等價(jià)狀態(tài)合并成一個(gè)狀態(tài),把多余的狀態(tài)都去掉,從而得到最簡的狀態(tài)圖。狀態(tài)化簡2狀態(tài)分配3所得原始狀態(tài)圖中,狀態(tài)S2和S3等價(jià)。因?yàn)樗鼈冊谳斎霝?時(shí)輸出都為1,且都轉(zhuǎn)換到次態(tài)S3;在輸入為0時(shí)輸出都為0,且都轉(zhuǎn)換到次態(tài)S0。所以它們可以合并為一個(gè)狀態(tài),合并后的狀態(tài)用S2表示。S0=00S1=01S2=10沈陽航空航天大學(xué)電子信息工程學(xué)院SYIAE ELECTRONIC ENGINEERING4選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、驅(qū)動(dòng)方程選

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論