Xilinx公司ISE101軟件及簡(jiǎn)單設(shè)計(jì)流程介紹_第1頁(yè)
Xilinx公司ISE101軟件及簡(jiǎn)單設(shè)計(jì)流程介紹_第2頁(yè)
Xilinx公司ISE101軟件及簡(jiǎn)單設(shè)計(jì)流程介紹_第3頁(yè)
Xilinx公司ISE101軟件及簡(jiǎn)單設(shè)計(jì)流程介紹_第4頁(yè)
Xilinx公司ISE101軟件及簡(jiǎn)單設(shè)計(jì)流程介紹_第5頁(yè)
已閱讀5頁(yè),還剩40頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 Tel: email: 版權(quán)所有,禁止未經(jīng)授權(quán)的商業(yè)使用行為 培訓(xùn)內(nèi)容北京中教儀裝備技術(shù)有限公司介紹Xilinx公司介紹Xilinx公司產(chǎn)品概述Xilinx公司軟件平臺(tái)介紹Xilinx公司ISE10.1軟件及簡(jiǎn)單設(shè)計(jì)流程介紹PicoBlaze8位嵌入式微控制器原理EXCD-1硬件開(kāi)發(fā)平臺(tái)介紹基于ISE10.1和PicoBlaze8位微控制器的設(shè)計(jì)實(shí)現(xiàn)Xilinx片上可編程系統(tǒng)原理介紹基于EDK10.1和MicroBlaze32位軟核處理器的設(shè)計(jì)實(shí)現(xiàn)Xilinx公司ISE10.1軟件介紹-ISE設(shè)計(jì)流程翻譯映射布局和布線規(guī)劃 和預(yù)算HDL RTL仿真綜合以創(chuàng)建網(wǎng)表功能仿真得到時(shí)序收斂時(shí)序仿真實(shí)

2、現(xiàn)創(chuàng)建代碼/原理圖配置FPGA產(chǎn)生比特流文件Xilinx公司ISE10.1軟件介紹-ISE主界面Xilinx公司ISE10.1軟件介紹-主要功能 ISE的主要功能包括設(shè)計(jì)輸入、綜合、仿真、實(shí)現(xiàn)和下載,涵蓋了可編程邏輯器件開(kāi)發(fā)的全過(guò)程,從功能上講,完成CPLD/FPGA的設(shè)計(jì)流程無(wú)需借助任何第三方EDA軟件。下面簡(jiǎn)要說(shuō)明各功能的作用: 1、設(shè)計(jì)輸入:ISE提供的設(shè)計(jì)輸入工具包括用于HDL代碼輸入和查看報(bào)告的ISE文本編輯器(The ISE Text Editor),用于原理圖編輯的工具ECS(The Engineering Capture System),用于生成IP Core的Core Gen

3、erator,用于狀態(tài)機(jī)設(shè)計(jì)的StateCAD以及用于約束文件編輯的Constraint Editor等。 Xilinx公司ISE10.1軟件介紹 -主要功能 2、綜合:ISE的綜合工具不但包含了Xilinx自身提供的綜合工具XST,同時(shí)還可以內(nèi)嵌Mentor Graphics公司的Leonardo Spectrum和Synplicity公司的Synplify,實(shí)現(xiàn)無(wú)縫鏈接。 3、仿真:ISE本身自帶了一個(gè)具有圖形化波形編輯功能的仿真工具HDL Bencher,同時(shí)又提供了使用Model Tech公司的Modelsim進(jìn)行仿真的接口。 Xilinx公司ISE10.1軟件介紹 -主要功能 4、實(shí)

4、現(xiàn):此功能包括了翻譯、映射、布局布線等,還具備時(shí)序分析、管腳指定以及增量設(shè)計(jì)等高級(jí)功能。 5、下載:下載功能包括了BitGen,用于將布局布線后的設(shè)計(jì)文件轉(zhuǎn)換為位流文件,還包括了IMPACT,功能是進(jìn)行芯片配置和通信,控制將程序燒寫到FPGA芯片中去。Xilinx公司ISE10.1軟件介紹 -ISE主界面窗口功能概述 1)左上角的窗口是源文件窗口,設(shè)計(jì)工程所包括的文件以分層的形式列出。 2)在該子窗口的下面是處理窗口,該窗口描述的是對(duì)于選定的設(shè)計(jì)文件可以使用的處理流程。 3)在ISE主界面最下面是腳本窗口,在該窗口中顯示了消息、錯(cuò)誤和警告的狀態(tài)。同時(shí)還有Tcl腳本的交互和文件中查找的功能。 4

5、)在ISE的右上角是多文檔的窗口,在該窗口可以查看html的報(bào)告,ASCII碼文件、原理圖和仿真波形。通過(guò)選擇View-Restore Default Layout可以恢復(fù)界面的原始設(shè)置。Xilinx公司ISE10.1軟件介紹 -源文件(source)子窗口 這個(gè)窗口有三個(gè)標(biāo)簽:源(Source)、Snapshots(快照)、Library(庫(kù))。 源標(biāo)簽內(nèi)顯示工程名、指定的芯片和設(shè)計(jì)相關(guān)文檔。 在設(shè)計(jì)視圖的每一個(gè)文件都有一個(gè)相關(guān)的圖標(biāo),這個(gè)圖標(biāo)顯示的是文件的類型(HDL文件、原理圖、IP核和文本文件)。+表示該設(shè)計(jì)文件包含了更低層次的設(shè)計(jì)模塊。 標(biāo)簽內(nèi)顯示的是目前所打開(kāi)文件快照。一個(gè)快照是在

6、該工程里所有文件的一個(gè)拷貝。通過(guò)該標(biāo)簽可以察看報(bào)告、用戶文檔和源文件。該標(biāo)簽下所有的信息只讀。 庫(kù)標(biāo)簽內(nèi)顯示與當(dāng)前工程相關(guān)的庫(kù)。Xilinx公司ISE10.1軟件介紹 -處理(process)子窗口 在該窗口只有一個(gè)處理標(biāo)簽。該標(biāo)簽有下列功能: 添加已有文件;創(chuàng)建新文件;察看設(shè)計(jì)總結(jié)(訪問(wèn)符號(hào)產(chǎn)生工具,例化模板,察看命令行歷史和仿真庫(kù)編輯);用戶約束文件(訪問(wèn)和編輯位置和時(shí)序約束);綜合(檢查語(yǔ)法、綜合、察看RTL和綜合報(bào)告);設(shè)計(jì)實(shí)現(xiàn)(訪問(wèn)實(shí)現(xiàn)工具,設(shè)計(jì)流程報(bào)告和其它一些工具);產(chǎn)生可編程文件(訪問(wèn)配置工具和產(chǎn)生比特流文件)。Xilinx公司ISE10.1軟件介紹 -腳本(transcrip

7、t)子窗口 腳本子窗口有5個(gè)默認(rèn)標(biāo)簽:Console,error,warnings , Tcl shell,find in file。 Console標(biāo)簽顯示錯(cuò)誤、警告和信息。X表示錯(cuò)誤,!表示警告。 Warning標(biāo)簽只顯示警告消息。 Error標(biāo)簽只顯示錯(cuò)誤消息。 Tcl shell標(biāo)簽是與設(shè)計(jì)人員的交互控制臺(tái)。除了顯示錯(cuò)誤、警告和信息外,還允許輸入ISE特定命令。 Find in file標(biāo)簽顯示的是選擇EditFind in File操作后的查詢結(jié)果。Xilinx公司ISE10.1軟件介紹 -工作區(qū)(Workspace)子窗口 工作區(qū)子窗口提供了設(shè)計(jì)總結(jié)、文本編輯器、ISE仿真器/波形

8、編輯器、原理圖編輯器功能。 設(shè)計(jì)總結(jié)提供了關(guān)于該設(shè)計(jì)工程的更高級(jí)信息,包括信息概況、芯片資源利用報(bào)告、與布局布線相關(guān)性能數(shù)據(jù)、約束信息和總結(jié)信息等。 源文件和其它文本文件可以通過(guò)設(shè)計(jì)人員指定的編輯工具打開(kāi)。編輯工具的選擇由Edit-Preference屬性決定,默認(rèn)ISE的文本編輯器,通過(guò)該編輯器可以編輯源文件和用戶文檔,也可以訪問(wèn)語(yǔ)言模板。Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-打開(kāi)ISE軟件時(shí)的面板 當(dāng)以前使用過(guò)該軟件時(shí)會(huì)默認(rèn)打開(kāi)上一個(gè)工程。Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-創(chuàng)建一個(gè)新工程選擇芯片選擇綜合工具選擇仿真工具選擇代碼語(yǔ)言NextXilinx公司ISE10.

9、1軟件設(shè)計(jì)流程介紹-創(chuàng)建一個(gè)新工程這里可以新建一個(gè)文件,也可以在工程屬性建立完成后在工程內(nèi)新建,我們選擇 NextXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-創(chuàng)建一個(gè)新工程這里可以添加工程文件,也可以在工程建立后添加,我們選擇NextXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-創(chuàng)建一個(gè)新工程給出了整個(gè)工程大致屬性FinishXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-創(chuàng)建一個(gè)新工程完成后在Sources窗口中顯示工程文件夾以及工程所用芯片。在該窗口中右鍵可以新建文件,添加已經(jīng)寫好的文件,添加文件并復(fù)制該文件到工程文件夾中。Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹 -創(chuàng)建一個(gè)新

10、工程該文件的實(shí)體名新建文件的類型,不同的類型有著不同的功能和意義。Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹 -創(chuàng)建一個(gè)新工程端口名端口的類型及位數(shù)NextXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加實(shí)體端口給出了該文件的概要FinishXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加實(shí)體端口Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加實(shí)體端口雙擊gate文件自動(dòng)生成實(shí)體結(jié)構(gòu)生成了結(jié)構(gòu)體框架只需加入邏輯語(yǔ)句即可Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-自動(dòng)生成文件結(jié)構(gòu)框架添加的邏輯代碼-之后為注釋語(yǔ)句Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加代碼及注釋設(shè)

11、計(jì)中常用的四個(gè)操作:綜合、實(shí)現(xiàn)、生成bit文件、下載這個(gè)圖標(biāo)指示頂層文件選中頂層文件,Processes窗口中給出能操作的項(xiàng)目雙擊XST進(jìn)行綜合操作Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹NextXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件選擇所要仿真的VHDL文件NextXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件給出該波形文件的相關(guān)屬性FinishXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件仿真波形的設(shè)置界面:這里顯示的主要是時(shí)鐘方面的設(shè)置。Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件增對(duì)該工程設(shè)置方式如

12、圖波形文件長(zhǎng)度的設(shè)置FinishXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件在這里設(shè)置輸入波形可以進(jìn)行窗口的切換Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件切換到行為仿真如圖設(shè)置輸入波形并保存選中剛設(shè)計(jì)波形文件Processes窗口右鍵選擇屬性設(shè)置Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件設(shè)置仿真時(shí)間與建立波形文件的時(shí)間相同點(diǎn)擊OKXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件雙擊進(jìn)行行為仿真仿真波形驗(yàn)證設(shè)計(jì)邏輯的正確性Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加波形仿真文件通過(guò)Sources窗口切換到Im

13、plementationNextUCF文件的屬性概要FinishXilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-添加管腳約束文件(.UCF文件)Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-對(duì)管腳進(jìn)行約束選中頂層文件在Processes窗口中雙擊進(jìn)行對(duì)應(yīng)管腳的約束保存關(guān)閉 兩種方法Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-對(duì)管腳進(jìn)行約束單擊選中雙擊查看Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-查看或修改管腳約束文件選中頂層文件雙擊進(jìn)行實(shí)現(xiàn)操作雙擊產(chǎn)生.bit文件Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-工程實(shí)現(xiàn)及產(chǎn)生位流文件默認(rèn)選擇邊界掃描方式Xilinx公司ISE10.1軟件設(shè)計(jì)流程介紹-下載bit文件點(diǎn)擊Finish出現(xiàn)以下窗口彈出的窗口是為Prom配置文件,這里不配置選擇C

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論