2022年拓荊科技發(fā)展現(xiàn)狀及發(fā)展趨勢(shì)分析_第1頁(yè)
2022年拓荊科技發(fā)展現(xiàn)狀及發(fā)展趨勢(shì)分析_第2頁(yè)
2022年拓荊科技發(fā)展現(xiàn)狀及發(fā)展趨勢(shì)分析_第3頁(yè)
2022年拓荊科技發(fā)展現(xiàn)狀及發(fā)展趨勢(shì)分析_第4頁(yè)
2022年拓荊科技發(fā)展現(xiàn)狀及發(fā)展趨勢(shì)分析_第5頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、2022年拓荊科技發(fā)展現(xiàn)狀及發(fā)展趨勢(shì)分析1. 拓荊科技:國(guó)內(nèi)半導(dǎo)體薄膜沉積設(shè)備龍頭1.1. 專注半導(dǎo)體薄膜沉積設(shè)備拓荊科技專注的薄膜沉積設(shè)備領(lǐng)域系半導(dǎo)體晶圓制造三大核心設(shè)備種類之一,主要 產(chǎn)品包括等離子體增強(qiáng)化學(xué)氣相沉積(PECVD)設(shè)備、原子層沉積(ALD)設(shè)備和 次常壓化學(xué)氣相沉積(SACVD)設(shè)備三個(gè)產(chǎn)品系列,已廣泛應(yīng)用于國(guó)內(nèi)晶圓廠 14nm 及以上制程集成電路制造產(chǎn)線,并已展開(kāi) 10nm 及以下制程產(chǎn)品驗(yàn)證測(cè)試。公司成立于 2010 年;2011 年首臺(tái) 12 英寸 PECVD 到中芯國(guó)際驗(yàn)證,于 2013 年通 過(guò)產(chǎn)品線測(cè)試,2014 年獲得其首臺(tái)量產(chǎn)機(jī)臺(tái) PF-300T 訂單,20

2、15 年 PF-300T 在中 芯國(guó)際產(chǎn)線突破一萬(wàn)片;2016 年 ALD 設(shè)備、8 寸 PECVD 出廠到客戶端,2017 年 首臺(tái) ALD 通過(guò)客戶端 14nm 產(chǎn)業(yè)化驗(yàn)證;2019 年 SACVD 研制成功并出廠到客戶 端;2022 年 4 月 20 日于科創(chuàng)板上市。 目前,公司是國(guó)內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路 PECVD、SACVD 設(shè)備廠商,產(chǎn) 品已成功應(yīng)用于中芯國(guó)際、華虹集團(tuán)、長(zhǎng)江存儲(chǔ)、廈門聯(lián)芯、燕東微電子等行業(yè)領(lǐng) 先集成電路制造企業(yè)產(chǎn)線,打破國(guó)際廠商對(duì)國(guó)內(nèi)市場(chǎng)的壟斷,與國(guó)際寡頭直接競(jìng)爭(zhēng), 產(chǎn)品技術(shù)參數(shù)已達(dá)到國(guó)際同類設(shè)備水平。公司在研產(chǎn)品已發(fā)往某國(guó)際領(lǐng)先晶圓廠參 與其先進(jìn)制程工藝

3、研發(fā)。1.2. 2021 年已實(shí)現(xiàn)盈利,產(chǎn)品有望放量PECVD 設(shè)備銷量逐漸增加,2021 年實(shí)現(xiàn)盈利。公司營(yíng)收穩(wěn)健增長(zhǎng),盈利能力逐年 向好,2021 年已實(shí)現(xiàn)盈利。我們認(rèn)為主要受益于半導(dǎo)體設(shè)備行業(yè)的快速發(fā)展,以及 公司實(shí)力不斷受到認(rèn)可有關(guān)。隨著規(guī)模效應(yīng)逐漸顯現(xiàn),公司毛利率已高于行業(yè)平均水平。2018-2020 年,公司處 于收入增長(zhǎng)期,規(guī)模效應(yīng)尚不明顯;且在開(kāi)拓新業(yè)務(wù)時(shí),部分商務(wù)談判中接受了優(yōu) 惠的報(bào)價(jià),因此毛利率水平相比同行業(yè)可比公司平均水平較低。隨著公司技術(shù)水平 和市場(chǎng)地位的提升,議價(jià)能力、產(chǎn)品先進(jìn)性逐漸提高,規(guī)模效應(yīng)逐漸顯現(xiàn),2021 年 及 2022Q1,公司的毛利率高于同行業(yè)可比公

4、司平均水平。1.3. 管理團(tuán)隊(duì)技術(shù)背景出身,員工持股激發(fā)活力截至 2022 年 4 月 20 日,公司無(wú)控股股東和實(shí)際控制人,第一大股東為國(guó)家集成電 路基金,持股 19.86%。 公司董事會(huì)由 9 名董事組成,6 名非獨(dú)立董事中,國(guó)家集成電路基金有權(quán)提名 2 名, 國(guó)投上海、中微公司分別有權(quán)提名 1 名,姜謙及其一致行動(dòng)人有權(quán)提名 2 名。盡管 無(wú)實(shí)際控制人,但該體系可使公司在重大決策和日常工作中穩(wěn)健經(jīng)營(yíng)。公司建有員工持股平臺(tái),八名外籍專家直接持股或通過(guò)員工持股平臺(tái)間接持股,激 發(fā)活力。呂光泉等七名外籍專家直接持股并通過(guò)員工持股平臺(tái)間接持股,姜謙及其 余員工通過(guò)員工持股平臺(tái)間接持有發(fā)行人激勵(lì)股

5、份,11 個(gè)員工持股平臺(tái)合計(jì)持有發(fā) 行人 11,481,700 股股份,占發(fā)行前股份的 12.10%;合計(jì)持有發(fā)行人 15.19%的股份 (發(fā)行前)。 公司全員持股的激勵(lì)制度,吸引了大量具有豐富經(jīng)驗(yàn)的國(guó)內(nèi)外半導(dǎo)體設(shè)備行業(yè)專家 加入公司,強(qiáng)化專家團(tuán)隊(duì)的技術(shù)能力、領(lǐng)導(dǎo)力和執(zhí)行力。同時(shí),公司大膽提拔國(guó)內(nèi) 中層干部,并在基層增補(bǔ)新鮮血液,為公司發(fā)展儲(chǔ)備人才。2. 薄膜沉積設(shè)備是半導(dǎo)體設(shè)備中的優(yōu)質(zhì)賽道薄膜沉積設(shè)備具有空間大、增速高、競(jìng)爭(zhēng)格局好的特點(diǎn),是半導(dǎo)體設(shè)備行業(yè)的優(yōu)質(zhì) 賽道: 1、空間大:薄膜沉積設(shè)備占前道設(shè)備投資的 25%,僅次于刻蝕設(shè)備,需求量大, 2020 年國(guó)內(nèi)薄膜沉積設(shè)備市場(chǎng)規(guī)模約為 30

6、0 億人民幣,且在快速增加; 2、增速高:過(guò)去十年,化學(xué)薄膜沉積設(shè)備 2011-2021 年復(fù)合增速為 13.41%,僅次 于干法刻蝕設(shè)備;“擴(kuò)產(chǎn)持續(xù)+先進(jìn)工藝+先進(jìn)制程” 將持續(xù)推動(dòng)半導(dǎo)體薄膜沉積設(shè) 備行業(yè)成長(zhǎng); 3、競(jìng)爭(zhēng)格局較好:半導(dǎo)體薄膜沉積設(shè)備技術(shù)門檻高,國(guó)內(nèi)沉積設(shè)備互為補(bǔ)充,競(jìng)爭(zhēng) 對(duì)手主要在海外,有望充分授予于國(guó)產(chǎn)替代進(jìn)程。2.1. 半導(dǎo)體及半導(dǎo)體設(shè)備行業(yè)飛速發(fā)展半導(dǎo)體產(chǎn)業(yè)鏈可按照主要生產(chǎn)過(guò)程進(jìn)行劃分,整體可分為上游半導(dǎo)體支撐產(chǎn)業(yè)、中 游晶圓制造產(chǎn)業(yè)、下游半導(dǎo)體應(yīng)用產(chǎn)業(yè)。上游半導(dǎo)體材料、設(shè)備產(chǎn)業(yè)為中游晶圓制 造產(chǎn)業(yè)提供必要的原材料與生產(chǎn)設(shè)備。半導(dǎo)體產(chǎn)品下游應(yīng)用廣泛,涉及通訊技術(shù)、 消

7、費(fèi)電子、工業(yè)電子、汽車電子、人工智能、物聯(lián)網(wǎng)、醫(yī)療、新能源、大數(shù)據(jù)等多 個(gè)領(lǐng)域。下游應(yīng)用行業(yè)的需求增長(zhǎng)是中游晶圓制造產(chǎn)業(yè)快速發(fā)展的核心驅(qū)動(dòng)力。全球半導(dǎo)體行業(yè)處于景氣周期。由于前幾年全球芯片產(chǎn)業(yè)制程布局與市場(chǎng)需求錯(cuò)配, 加之新冠疫情干擾供應(yīng)鏈,多國(guó)出現(xiàn)了缺”芯”現(xiàn)象,下游需求增加,半導(dǎo)體產(chǎn)業(yè) 處于景氣周期。5G、新能源汽車等景氣行業(yè)持續(xù)驅(qū)動(dòng)半導(dǎo)體需求。據(jù) ASM Pacific 公司 2021 年 Q2 財(cái)報(bào)中援引 Gartner 數(shù)據(jù),全球半導(dǎo)體銷售收入仍將強(qiáng)勁增長(zhǎng),智能手機(jī)對(duì)半導(dǎo)體 的需求有望在 5G 推動(dòng)下恢復(fù)成長(zhǎng),新能源汽車也將拉動(dòng)需求。未來(lái),隨著 5G 通訊 網(wǎng)絡(luò)、人工智能、汽車電子、

8、智能移動(dòng)終端、物聯(lián)網(wǎng)的需求和技術(shù)不斷發(fā)展,市場(chǎng) 需求不斷擴(kuò)大,為國(guó)內(nèi)封裝企業(yè)提供良好的發(fā)展機(jī)會(huì),帶動(dòng)半導(dǎo)體產(chǎn)業(yè)的發(fā)展,推 動(dòng)先進(jìn)封裝的需求,成為封裝領(lǐng)域新的增長(zhǎng)動(dòng)能。2022 年全球半導(dǎo)體市場(chǎng)規(guī)模將達(dá)到 6760 億美元。根據(jù) Gartner 的統(tǒng)計(jì)結(jié)果,全球半 導(dǎo)體行業(yè)銷售收入 2016 年至 2018 年一直保持增長(zhǎng)趨勢(shì),復(fù)合增長(zhǎng)率達(dá) 17.34%。 2019 年受全球宏觀經(jīng)濟(jì)低迷影響,半導(dǎo)體行業(yè)景氣度有所下降。2020 年全球半導(dǎo)體 收入恢復(fù)增長(zhǎng)至 4,498.0 億美元,比 2019 年增長(zhǎng) 7.3%;2021 年同比增長(zhǎng) 32.38%。 據(jù) Gartner 預(yù)測(cè),2022 年全球半導(dǎo)

9、體市場(chǎng)規(guī)模將達(dá)到 6760 億美元。 中國(guó)大陸集成電路銷售收入近年來(lái)穩(wěn)健成長(zhǎng),2021 年實(shí)現(xiàn) 10458 億元人民幣,同比 增長(zhǎng) 18.2%,占全球的比重約為 27%。半導(dǎo)體設(shè)備是半導(dǎo)體行業(yè)的基礎(chǔ)支撐,光刻設(shè)備、刻蝕設(shè)備、薄膜沉積設(shè)備是集成 電路前道生產(chǎn)工藝中的三大核心設(shè)備。半導(dǎo)體產(chǎn)業(yè)的發(fā)展衍生出巨大的半導(dǎo)體設(shè)備 市場(chǎng),主要包括光刻機(jī)、刻蝕機(jī)、薄膜沉積設(shè)備、離子注入機(jī)、測(cè)試機(jī)、分選機(jī)、 探針臺(tái)等設(shè)備,屬于半導(dǎo)體行業(yè)產(chǎn)業(yè)鏈的技術(shù)先導(dǎo)者。應(yīng)用于集成電路領(lǐng)域的設(shè)備 通??煞譃榍暗拦に囋O(shè)備(晶圓制造)和后道工藝設(shè)備(封裝測(cè)試)兩大類。其中, 晶圓制造設(shè)備的市場(chǎng)規(guī)模占集成電路設(shè)備整體市場(chǎng)規(guī)模的 80%

10、以上。在前道晶圓制 造中,共有七大工藝步驟,分別為氧化/擴(kuò)散、光刻、刻蝕、薄膜生長(zhǎng)、離子注入、 清洗與拋光、金屬化,所對(duì)應(yīng)的設(shè)備主要包括氧化/擴(kuò)散設(shè)備、光刻設(shè)備、刻蝕設(shè)備、 薄膜沉積設(shè)備、離子注入設(shè)備、清洗設(shè)備、機(jī)械拋光設(shè)備等,其中光刻設(shè)備、刻蝕 設(shè)備、薄膜沉積設(shè)備是集成電路前道生產(chǎn)工藝中的三大核心設(shè)備。2.2. 薄膜沉積直接影響芯片性能,該類設(shè)備系三大前道核心設(shè)備之一晶圓前道制造可以簡(jiǎn)單看作是一個(gè)表面加工的過(guò)程,薄膜沉積包含其中。在硅片表 面按照一定順序,生長(zhǎng)出半導(dǎo)體、絕緣介質(zhì)和導(dǎo)電層等不同成分的膜層的工藝,稱 之為薄膜沉積。有多種技術(shù)可以將需要的膜層沉積到晶圓的表面,其中比較重要的 有化學(xué)

11、氣相沉積(CVD)、物理氣相沉積(PVD)和原子層沉積(ALD)。薄膜沉積設(shè)備主 要負(fù)責(zé)各個(gè)步驟當(dāng)中的介質(zhì)層與金屬層的沉積,在芯片完成制造、封測(cè)等工序后會(huì) 留存在芯片中,薄膜的技術(shù)參數(shù)直接影響芯片性能。CVD 開(kāi)始時(shí)間最早,其次為 PVD、ALD。1880 年用化學(xué)氣相沉積(CVD)碳補(bǔ)強(qiáng) 白熾燈中的鎢燈絲開(kāi)創(chuàng)了 CVD 的歷史,1950 年代 CVD 開(kāi)始工業(yè)化應(yīng)用,1960 年 代 CVD 法不僅應(yīng)用于宇航工業(yè)的特殊復(fù)合材料、原子反應(yīng)堆材料、刀具、耐熱耐腐 蝕涂層等領(lǐng)域,還被應(yīng)用于半導(dǎo)體工業(yè)領(lǐng)域。1963 年, PVD真空離子鍍膜(VACION PLATING)技術(shù)研制成功,主要用于人造衛(wèi)

12、星需要的耐磨零部件。原子層沉積 (ALD)制程技術(shù)則于 1970 年代開(kāi)始發(fā)展,至 1990 年代末期,由于半導(dǎo)體工業(yè)開(kāi)始 導(dǎo)入 ALD 制程,ALD 制程技術(shù)迅速成長(zhǎng)。在 2007 年 Intel 利用 ALD 制程技術(shù)成長(zhǎng) 二氧化鉿(HfO2)閘極氧化層,應(yīng)用于 45nm 微處理器上的金氧半場(chǎng)效晶體管 (MOSFET),進(jìn)一步奠定 ALD 制程技術(shù)在半導(dǎo)體產(chǎn)業(yè)上的重要性。常用 CVD 設(shè)備包括 PECVD、SACVD、APCVD、LPCVD 等,適用于不同工藝節(jié) 點(diǎn)對(duì)膜質(zhì)量、厚度以及孔隙溝槽填充能力等的不同要求。常壓化學(xué)氣相沉積 (APCVD)是最早的 CVD 設(shè)備,結(jié)構(gòu)簡(jiǎn)單、沉積速率高,

13、至今仍廣泛應(yīng)用于工業(yè) 生產(chǎn)中。低壓化學(xué)氣相沉積(LPCVD)是在 APCVD 的基礎(chǔ)上發(fā)展起來(lái)的,由于其 工作壓力大大降低,薄膜的均勻性和溝槽覆蓋填充能力有所改善,相比 APCVD 的 應(yīng)用更為廣泛。等離子體增強(qiáng)化學(xué)氣相沉積設(shè)備(PECVD)在從亞微米發(fā)展到 90nm 的 IC 制造技術(shù)過(guò)程中,扮演了重要的角色,由于等離子體的作用,化學(xué)反應(yīng)溫度明 顯降低,薄膜純度得到提高,致密度得以加強(qiáng),不傷害芯片已完成的電路。次常壓 化學(xué)氣相沉積(SACVD)主要應(yīng)用于溝槽填充工藝。集成電路結(jié)構(gòu)中,溝槽孔洞的 深寬比越來(lái)越大,SACVD 反應(yīng)腔環(huán)境具有特有的高溫(400-550)、高壓(30-600Torr

14、) 環(huán)境,具有快速填空(Gap fill)能力。原子層沉積(ALD)可精確控制薄膜的厚度,臺(tái)階覆蓋率高,特別適合深槽結(jié)構(gòu)中 的薄膜生長(zhǎng)。原子層沉積可以將物質(zhì)以單原子膜形式一層一層地鍍?cè)诨妆砻娴姆?法。因?yàn)槟承?CVD 工藝涉及的溫度高于當(dāng)今先進(jìn)半導(dǎo)體中使用的材料所能承受的 溫度,且 CVD 工藝是“糊狀的”前體、等離子體、副產(chǎn)物和其他分子碎片和 物質(zhì)都在腔室中漂浮,因此難以將薄膜沉積控制到原子級(jí)。相對(duì)于傳統(tǒng)的沉積工藝 而言, ALD 工藝具有自限制生長(zhǎng)的特點(diǎn),可精確控制薄膜的厚度,制備的薄膜具 有均勻的厚度和優(yōu)異的一致性,臺(tái)階覆蓋率高,特別適合深槽結(jié)構(gòu)中的薄膜生長(zhǎng)。 ALD 設(shè)備沉積的薄膜具

15、有非常精確的膜厚控制和非常優(yōu)越的臺(tái)階覆蓋率,在 28nm 以下關(guān)鍵尺寸縮小的雙曝光工藝方面取得了越來(lái)越廣泛的應(yīng)用。目前,28nm 以下先 進(jìn)制程的 FinFET 制造工藝中,難點(diǎn)在于形成 Fin 的形狀,F(xiàn)in 的有源區(qū)并不是通過(guò) 光刻直接形成的,而是通過(guò)自對(duì)準(zhǔn)雙重成像技術(shù)(SADP,Self-Aligned Double Patterning)工藝形成。2.3. 薄膜沉積設(shè)備價(jià)值量高、增速快,預(yù)計(jì)到 2025 年全球 340 億美元市 場(chǎng)空間我國(guó)已成為全球第一大半導(dǎo)體設(shè)備市場(chǎng),2021 年市場(chǎng)空間約 296 億美元。據(jù) SEMI 統(tǒng)計(jì),2014 年全球半導(dǎo)體設(shè)備銷售規(guī)模為 375 億美元,2

16、021 年全球半導(dǎo)體設(shè)備銷 售額達(dá) 1026 億美元,年均復(fù)合增長(zhǎng)率達(dá) 15.46%。 我國(guó)已成為全球半導(dǎo)體產(chǎn)業(yè)市場(chǎng)規(guī)模最大的地區(qū),半導(dǎo)體設(shè)備行業(yè)在下游快速發(fā)展 的推動(dòng)下,保持快速增長(zhǎng)。根據(jù) SEMI 統(tǒng)計(jì),2021 年中國(guó)大陸地區(qū)半導(dǎo)體設(shè)備銷售 規(guī)模達(dá) 296.2 億美元,同比增長(zhǎng) 58%,為全球第一大半導(dǎo)體設(shè)備市場(chǎng)。我國(guó)集成電路設(shè)備國(guó)內(nèi)自給率僅有 5%左右,在全球市場(chǎng)僅占 1-2%,進(jìn)口替代空間 巨大。我國(guó)半導(dǎo)體設(shè)備整體仍依賴進(jìn)口。根據(jù)中國(guó)電子專用設(shè)備工業(yè)協(xié)會(huì)數(shù)據(jù)統(tǒng)計(jì), 2020 年國(guó)產(chǎn)半導(dǎo)體設(shè)備銷售額約為 213 億元,自給率約為 17.5%。如僅考慮集成電 路設(shè)備,國(guó)內(nèi)自給率僅有 5%

17、左右,在全球市場(chǎng)僅占 1-2%,技術(shù)含量最高的集成電 路前道設(shè)備則自給率更低。半導(dǎo)體設(shè)備嚴(yán)重依賴進(jìn)口不僅影響我國(guó)半導(dǎo)體產(chǎn)業(yè)的發(fā) 展,更對(duì)我國(guó)信息產(chǎn)業(yè)安全造成重大隱患。半導(dǎo)體制造國(guó)產(chǎn)化勢(shì)必帶動(dòng)設(shè)備國(guó)產(chǎn)化, 國(guó)產(chǎn)設(shè)備進(jìn)口替代趨勢(shì)明顯,替代空間巨大。薄膜沉積設(shè)備需求大、增速快。新建晶圓廠設(shè)備投資中,晶圓制造相關(guān)設(shè)備投資額 占比約為總體設(shè)備投資的 80%,薄膜沉積設(shè)備作為晶圓制造的三大主設(shè)備之一,其 投資規(guī)模占設(shè)備總投資的 20%,晶圓制造設(shè)備總投資的 25%,在半導(dǎo)體設(shè)備中價(jià) 值量?jī)H次于刻蝕機(jī)。全球薄膜沉積設(shè)備市場(chǎng) 2025 年將達(dá)到 340 億美元,2020-2025 年 CAGR 為 14.6%

18、。 根據(jù) Maximize Market Research 數(shù)據(jù)統(tǒng)計(jì),2017-2019 年全球半導(dǎo)體薄膜沉積設(shè)備 市場(chǎng)規(guī)模分別為 125 億美元、145 億美元和 155 億美元,2020 年擴(kuò)大至約 172 億美 元,年復(fù)合增長(zhǎng)率為 11.2%。隨著半導(dǎo)體行業(yè)整體景氣度的提升,全球半導(dǎo)體設(shè)備 市場(chǎng)呈現(xiàn)快速增長(zhǎng)態(tài)勢(shì),拉動(dòng)市場(chǎng)對(duì)薄膜沉積設(shè)備需求的增加,Maximize Market Research 預(yù)計(jì)全球半導(dǎo)體薄膜沉積設(shè)備市場(chǎng)規(guī)模在 2025 年將從 2020 年的 172 億美 元擴(kuò)大至 340 億美元,保持年復(fù)合 14.6%的增長(zhǎng)速度。 根據(jù)國(guó)內(nèi)半導(dǎo)體設(shè)備市場(chǎng)占全球市場(chǎng) 26.29%的

19、比例和 2020 年全球薄膜沉積設(shè)備 172 億美元市場(chǎng)規(guī)模測(cè)算,2020 年國(guó)內(nèi)薄膜沉積設(shè)備市場(chǎng)規(guī)模約為 45.22 億美元。2.4. 全球來(lái)看,行業(yè)基本由 AMAT、ASMI、Lam、TEL 等國(guó)際巨頭壟斷薄膜沉積設(shè)備為海外巨頭壟斷。從全球市場(chǎng)份額來(lái)看,薄膜沉積設(shè)備行業(yè)呈現(xiàn)出高 度壟斷的競(jìng)爭(zhēng)局面,行業(yè)基本由應(yīng)用材料(AMAT)、ASMI、泛林半導(dǎo)體(Lam)、 東京電子(TEL)等國(guó)際巨頭壟斷。2019 年,ALD 設(shè)備龍頭東京電子(TEL)和先 晶半導(dǎo)體(ASMI)分別占據(jù)了 31%和 29%的市場(chǎng)份額,剩下 40%的份額由其他廠 商占據(jù);而應(yīng)用材料(AMAT)則基本壟斷了 PVD 市場(chǎng)

20、,占 85%的比重,處于絕對(duì) 龍頭地位;在 CVD 市場(chǎng)中,應(yīng)用材料(AMAT)全球占比約為 30%,連同泛林半導(dǎo) 體(Lam)的 21%和 TEL 的 19%,三大廠商占據(jù)了全球 70%的市場(chǎng)份額。應(yīng)用材料公司 Applied Materials,簡(jiǎn)稱應(yīng)材 AMAT,是全球最大的半導(dǎo)體制造設(shè)備 和服務(wù)供應(yīng)商。主要產(chǎn)品為芯片制造相關(guān)類產(chǎn)品,例如原子層沉積、物理氣相沉積、 化學(xué)氣相沉積、電鍍、侵蝕、離子注入、快速熱處理、化學(xué)機(jī)械拋光、測(cè)量學(xué)和硅 片檢測(cè)等,并包含配套的服務(wù)提供給營(yíng)運(yùn)工廠的半導(dǎo)體客戶。 應(yīng)材在 PVD 設(shè)備處于壟斷地位,享有 85%的市場(chǎng)份額,ENDURA PVD平臺(tái)是半 導(dǎo)體行業(yè)

21、歷史上最成功的金屬化系統(tǒng)之一,其沉積能力涵蓋前端金屬化,能夠容納 多達(dá)九個(gè)工藝腔室,可以靈活地混合和匹配腔室,以創(chuàng)建集成的多步驟工藝序列。 過(guò)去 20 年中制造的絕大多數(shù)微芯片都是使用 Endura 系統(tǒng)創(chuàng)建的。AXCELA PVD 系統(tǒng)在過(guò)去 10 年中表現(xiàn)出工藝優(yōu)越性和穩(wěn)定性,小批量集群架構(gòu)使這款高度可靠 的 PVD 工具成為大多數(shù)金屬化應(yīng)用的絕佳選擇。東京電子 Tokyo Electron Limited,簡(jiǎn)稱 TEL,是日本最大的半導(dǎo)體制造設(shè)備提供 商,也是世界第四大半導(dǎo)體制造設(shè)備提供商。主要從事半導(dǎo)體制造設(shè)備和平板顯示 器制造設(shè)備的研發(fā)和生產(chǎn),全球擁有 1.1 萬(wàn)名員工。 TEL 在

22、全球 CVD 設(shè)備領(lǐng)域占有 19%的市場(chǎng)份額,其 TELINDY系列是業(yè)界首屈一 指的用于氧化、退火和 LPCVD 沉積的設(shè)備,至今出機(jī)超 4600 套。 TEL 在全球 ALD 設(shè)備領(lǐng)域占有 31%的市場(chǎng)份額,主要產(chǎn)品是 NT333系列,與單 晶圓或雙晶圓加工技術(shù)相比,NT333具有較大的晶圓容量,具備 2 個(gè)腔室,每個(gè) 腔室可以有 6/12 個(gè) 300mm 晶圓片。它提供原子級(jí)的薄膜厚度控制,同時(shí)由于采用 空間原子層沉積方法可以達(dá)到卓越的薄膜質(zhì)量和高生產(chǎn)率。拉姆研究 Lam Research,又稱泛林半導(dǎo)體,成立于 1980 年是全球第三大的半導(dǎo)體 制造設(shè)備和服務(wù)供應(yīng)商。可提供的工藝與產(chǎn)品

23、包括薄膜沉積、刻蝕、去膠和清洗、 量測(cè),其中拉姆研究在薄膜沉積和刻蝕設(shè)備占有主導(dǎo)地位。拉姆研究主要通過(guò)自研 和兼并購(gòu)的方法雙管齊下,不斷開(kāi)發(fā)新的產(chǎn)品與技術(shù),穩(wěn)定市場(chǎng)地位。 拉姆研究 ALTUS 系統(tǒng)處于 ALD 設(shè)備市場(chǎng)領(lǐng)先水平,其結(jié)合化學(xué)氣相沉積和原子 層沉積技術(shù),改善接觸填充效果并降低接觸電阻,使沉積的阻擋層薄膜具有良好的 臺(tái)階覆蓋率、較低的電阻率和相比物理氣相沉積與傳統(tǒng)化學(xué)沉積方法得到的更小的 薄膜厚度。拉姆研究 ALTUS MaxE 系列采用的業(yè)界首款低氟鎢(LFW)ALD 工藝, 可使檢測(cè)到的氟減 100 倍、內(nèi)應(yīng)力降低 10 倍、薄膜電阻率降低 30%,這一技術(shù)已 連續(xù)領(lǐng)先行業(yè) 1

24、5 年,且被視作鎢原子層沉積的行業(yè)標(biāo)桿。先晶科技 ASM International,簡(jiǎn)稱 ASMI,成立于 1964 年,是一家荷蘭的晶圓加 工半導(dǎo)體工藝設(shè)備的領(lǐng)先供應(yīng)商。公司開(kāi)創(chuàng)了許多工業(yè)中使用的晶圓加工技術(shù)的重 要方面,包括光刻,沉積,離子注入和單晶圓外延。近年來(lái),公司將 RD 的原子 層沉積(ALD)和等離子體增強(qiáng)原子層沉積(PEALD)直接引入先進(jìn)制造商的主流 生產(chǎn),是 ALD 工藝的領(lǐng)先者之一。 ASMI 的 EMERALD XP ALD 反應(yīng)器室使用淋浴噴頭工藝氣體分布方法,可以確 保氣體均勻地輸送到晶圓表面。它還具有遠(yuǎn)程等離子室清潔功能,能有效延長(zhǎng)設(shè)備 正常運(yùn)行時(shí)間;PULSA

25、R XP ALD 是業(yè)界領(lǐng)先的 ALD 設(shè)備,是業(yè)界首位用于大批 量生產(chǎn)高 k 金屬柵極晶體管 ALD 系統(tǒng);Synergis 是一款高生產(chǎn)率的 300mm 刀 具,適用于各種熱原子層沉積應(yīng)用。SYNERGIS ALD 系統(tǒng)可以配置多達(dá)四個(gè)雙腔 室模塊(DCM),占比空間較小但卻仍有八個(gè)大批量生產(chǎn)的腔室。3. 拓荊科技具有稀缺性:在多個(gè)環(huán)節(jié)為國(guó)產(chǎn)唯一供應(yīng)商應(yīng)用于半導(dǎo)體晶圓前道工藝的薄膜沉積設(shè)備壁壘較高,公司憑借國(guó)家級(jí)重大項(xiàng)目的 參與經(jīng)驗(yàn),持續(xù)較高比例的研發(fā)投入,優(yōu)秀的人才團(tuán)隊(duì)及完備的激勵(lì)機(jī)制,形成覆 蓋二十余種工藝型號(hào)的薄膜沉積設(shè)備,性能已達(dá)國(guó)際先進(jìn)水平。在晶圓廠的招標(biāo)中, 公司與國(guó)際巨頭直接

26、競(jìng)爭(zhēng),受到中芯國(guó)際、華虹集團(tuán)、長(zhǎng)江存儲(chǔ)等客戶的認(rèn)可,成 為唯一進(jìn)入相關(guān)產(chǎn)線的國(guó)產(chǎn)設(shè)備供應(yīng)商,稀缺性值得重視。3.1. 國(guó)家級(jí)重大項(xiàng)目經(jīng)驗(yàn)+研發(fā)投入+人才+優(yōu)質(zhì)產(chǎn)品構(gòu)筑護(hù)城河承擔(dān)多項(xiàng)國(guó)家重大科技項(xiàng)目,具備八項(xiàng)核心技術(shù),關(guān)鍵性能指標(biāo)達(dá)國(guó)際先進(jìn)水平。 公司自成立以來(lái),始終專注于半導(dǎo)體薄膜沉積設(shè)備的研發(fā)。公司先后承擔(dān)“90-65nm 等離子體增強(qiáng)化學(xué)氣相沉積設(shè)備研發(fā)與應(yīng)用”、“1x nm 3D NAND PECVD 研發(fā)及產(chǎn)業(yè)化”等四項(xiàng)國(guó)家重大科技專項(xiàng)/課題。公司的先進(jìn)薄膜工藝設(shè)備設(shè)計(jì)技術(shù)、反應(yīng)模 塊架構(gòu)布局技術(shù)等核心技術(shù),解決了半導(dǎo)體制造中納米級(jí)厚度薄膜均勻一致性、薄 膜表面顆粒數(shù)量少、快速成膜、設(shè)

27、備產(chǎn)能穩(wěn)定高速等關(guān)鍵難題,在保證實(shí)現(xiàn)薄膜工 藝性能的同時(shí),提升客戶產(chǎn)線的產(chǎn)能,減少客戶產(chǎn)線的生產(chǎn)成本。研發(fā)投入力度較大,均費(fèi)用化;研發(fā)人員占比 74%。公司保持著較高的研發(fā)投入水 平,2021 年及 2022Q1 維持在 35%左右,且研發(fā)投入均費(fèi)用化,持續(xù)投入保證了公 司產(chǎn)品的競(jìng)爭(zhēng)力。 從員工構(gòu)成來(lái)看,員工中 74%為研發(fā)人員,32%的員工學(xué)歷為碩士及以上,顯示公 司研究驅(qū)動(dòng)型的特點(diǎn)。3.2. 產(chǎn)品線較為完備,性能已達(dá)國(guó)際同類設(shè)備水平公司已形成三大類薄膜沉積設(shè)備,在多個(gè)工序段、多個(gè)制程均可使用。主要產(chǎn)品包 括等離子體增強(qiáng)化學(xué)氣相沉積(PECVD)設(shè)備、原子層沉積(ALD)設(shè)備和次常壓 化學(xué)氣

28、相沉積(SACVD)設(shè)備三個(gè)產(chǎn)品系列,已廣泛應(yīng)用于國(guó)內(nèi)晶圓廠 14nm 及以 上制程集成電路制造產(chǎn)線,并已展開(kāi) 10nm 及以下制程產(chǎn)品驗(yàn)證測(cè)試。 公司的產(chǎn)品已適配國(guó)內(nèi)最先進(jìn)的 28/14nm 邏輯芯片、19/17nm DRAM 芯片和 64/128 層 3D NAND FLASH 晶圓制造產(chǎn)線。其中,PECVD 設(shè)備已全面覆蓋邏輯電路、 DRAM 存儲(chǔ)、FLASH 閃存集成電路制造各技術(shù)節(jié)點(diǎn)產(chǎn)線多種通用介質(zhì)材料薄膜沉 積工序,并研發(fā)了 Lok、Lok、ACHM、ADC等先進(jìn)介質(zhì)材料工藝,拓寬公司 PECVD 產(chǎn)品在晶圓制造產(chǎn)線薄膜沉積工序的應(yīng)用。公司設(shè)備性能已達(dá)到國(guó)際同類水平。公司自主研發(fā)形

29、成的核心技術(shù),產(chǎn)品的性能參 數(shù)系公司經(jīng)營(yíng)關(guān)鍵業(yè)務(wù)指標(biāo),已達(dá)到國(guó)際同類設(shè)備水平。公司是國(guó)內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路 PECVD 設(shè)備廠商,已形成 16 種不同 型號(hào)的設(shè)備,覆蓋 180-14nm 邏輯芯片、19/17nm DRAM 及 64/128 層 FLASH 制造 工藝需求。公司生產(chǎn)的 PECVD 產(chǎn)品,采用一個(gè)平臺(tái)可擴(kuò)展多個(gè)反應(yīng)腔的技術(shù)方案, 通過(guò)對(duì)反應(yīng)腔流場(chǎng)、溫度場(chǎng)和等離子場(chǎng)的仿真和設(shè)計(jì)、射頻系統(tǒng)回路及精度的優(yōu)化、 高產(chǎn)能,低顆粒的晶圓傳動(dòng)系統(tǒng)的設(shè)計(jì)、反應(yīng)腔中的關(guān)鍵零部件的設(shè)計(jì)選型,滿足 工藝需求的同時(shí)能夠有效降低客戶綜合使用成本。 公司針對(duì)下游對(duì)于不同材料薄膜 PECVD 設(shè)備的

30、需求,已研發(fā)并生產(chǎn) 16 種不同工藝 型號(hào)的 PECVD 設(shè)備,適配 180-14nm 邏輯芯片、19/17nm DRAM 及 64/128 層 FLASH 制造工藝需求,產(chǎn)品能夠兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok 、ACHM、ADC等多種反應(yīng)材料。公司已于 2018 年向某國(guó)際領(lǐng)先晶圓廠發(fā)貨一 臺(tái) PECVD 設(shè)備用于其先進(jìn)邏輯芯片制造研發(fā)產(chǎn)線,2020 年某國(guó)際領(lǐng)先晶圓廠向公 司增訂了一臺(tái) PECVD 設(shè)備用于其上述先進(jìn)制程試產(chǎn)線。公司 ALD 設(shè)備廠商已適配 55-14nm 邏輯芯片制造工藝需求。公司的等離子體增強(qiáng) 原子層沉積設(shè)備(PE-ALD)

31、,在公司 PECVD 設(shè)備核心技術(shù)的基礎(chǔ)上,根據(jù) ALD 反 應(yīng)原理,結(jié)合理論分析及仿真計(jì)算,對(duì)反應(yīng)腔內(nèi)的氣路、關(guān)鍵件、噴淋頭等進(jìn)行創(chuàng) 新設(shè)計(jì)。公司的 ALD 設(shè)備,在實(shí)現(xiàn)了薄膜顆粒數(shù)量和薄膜厚度的精確控制的同時(shí), 有效縮短了成膜反應(yīng)時(shí)間,減少了反應(yīng)氣體的使用量,提高了設(shè)備的使用效率。公 司的 ALD 設(shè)備可以沉積 SiO2 和 SiN 材料薄膜,目前已適配 55-14nm 邏輯芯片制造工藝需求。 隨著芯片制程向 7 納米及以下延伸,芯片結(jié)構(gòu)日益復(fù)雜,產(chǎn)生了新的工藝需求。ALD 設(shè)備由于其優(yōu)異的臺(tái)階覆蓋率和精確薄膜厚度控制能力,在先進(jìn)制程新工藝如 SADP、HKMG 中得到了應(yīng)用。隨著半導(dǎo)體先

32、進(jìn)制程產(chǎn)線數(shù)量增加,預(yù)計(jì) 2026 年全 球 ALD 設(shè)備市場(chǎng)規(guī)模約為 32 億美元。公司是國(guó)內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路 SACVD 設(shè)備廠商。SACVD 設(shè)備具有 能夠填平溝槽孔洞結(jié)構(gòu)至平整的能力和沉積速度快的特點(diǎn),公司在 PECVD 設(shè)備技 術(shù)平臺(tái)的基礎(chǔ)上,通過(guò)對(duì)多腔室負(fù)載反應(yīng)腔系統(tǒng)、精密冷卻控制系統(tǒng)及均衡控溫陶 瓷盤的設(shè)計(jì),實(shí)現(xiàn)了出色的溝槽填充能力并保證了較快的填充速率。對(duì)反應(yīng)腔底部 的抽氣設(shè)計(jì),減少了顆粒數(shù)量,延長(zhǎng)了設(shè)備維護(hù)周期,提高了設(shè)備使用效率。公司 的 SACVD 設(shè)備可以沉積 BPSG、SAF 材料薄膜,適配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的邏輯

33、芯片制造工藝需求。3.3. 唯一性:競(jìng)爭(zhēng)對(duì)手主要在海外,公司在多個(gè)環(huán)節(jié)為國(guó)產(chǎn)唯一供應(yīng)商, 客戶認(rèn)可度高在中國(guó)大陸,拓荊科技與全球巨頭正面競(jìng)爭(zhēng),在多個(gè)環(huán)節(jié)為唯一能進(jìn)入產(chǎn)線的國(guó)產(chǎn) 供應(yīng)商,具備稀缺性。選用長(zhǎng)江存儲(chǔ)、華虹無(wú)錫、上海華力、上海積塔在中國(guó)國(guó)際 招標(biāo)網(wǎng)上公布的 2019-2020 年間各類薄膜沉積設(shè)備采購(gòu)項(xiàng)目的評(píng)標(biāo)結(jié)果及中標(biāo)結(jié)果, 計(jì)算口徑為機(jī)臺(tái)數(shù)量。 (1)公司 PECVD 產(chǎn)品中標(biāo)機(jī)臺(tái)數(shù)量市場(chǎng)份額占比為 16.56%; (2)2019-2020 年,公司未實(shí)現(xiàn) ALD 設(shè)備完整機(jī)臺(tái)銷售,因此市場(chǎng)占有率為 0%, 2021 年 ALD 設(shè)備收入為 2862 萬(wàn)元; (3)公司 SACVD

34、 產(chǎn)品中標(biāo)機(jī)臺(tái)數(shù)量市場(chǎng)份額占比為 25%。拓荊科技薄膜沉積設(shè)備與國(guó)內(nèi)企業(yè)工藝不同、面向行業(yè)不同,互為補(bǔ)充,不存在重 疊和替代關(guān)系。公司是國(guó)內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路 PECVD、SACVD 設(shè)備 廠商。根據(jù)國(guó)內(nèi)主要晶圓廠公開(kāi)的招標(biāo)信息顯示,公司主要產(chǎn)品的競(jìng)爭(zhēng)對(duì)手為海外 廠商,不存在國(guó)內(nèi)其他競(jìng)爭(zhēng)對(duì)手。中微公司的 MOCVD 設(shè)備主要面向 LED 領(lǐng)域; 北方華創(chuàng)有多款薄膜沉積設(shè)備,但與拓荊科技工藝不同、沉積材料類型不同,部分 相同工藝的產(chǎn)品面向行業(yè)不同(北方華創(chuàng)的 PECVD 設(shè)備是應(yīng)用于光伏和 LED 領(lǐng) 域),應(yīng)用領(lǐng)域、技術(shù)原理與公司不存在重疊和替代關(guān)系。公司產(chǎn)品應(yīng)用于國(guó)內(nèi)主流晶圓廠產(chǎn)線

35、,與客戶長(zhǎng)期合作,具備穩(wěn)定性。公司產(chǎn)品已 廣泛用于中芯國(guó)際、華虹集團(tuán)、長(zhǎng)江存儲(chǔ)、長(zhǎng)鑫存儲(chǔ)、廈門聯(lián)芯、燕東微電子等國(guó) 內(nèi)主流晶圓廠產(chǎn)線,打破國(guó)際廠商對(duì)國(guó)內(nèi)市場(chǎng)的壟斷,與國(guó)際寡頭直接競(jìng)爭(zhēng)。 根據(jù) 2019-2020 年中國(guó)國(guó)際招標(biāo)網(wǎng)披露的長(zhǎng)江存儲(chǔ)、華虹無(wú)錫、上海華力、上海積 塔的中標(biāo)信息, PECVD、SACVD 設(shè)備在各晶圓廠占有一席之地。4. 拓荊科技發(fā)成長(zhǎng)具有確定性:國(guó)內(nèi)需求持續(xù)增長(zhǎng)+滲透率提升公司未來(lái)成長(zhǎng)的確定性來(lái)源于國(guó)產(chǎn)替代及稀缺性優(yōu)勢(shì)下份額逐步提升,以及國(guó)內(nèi)薄 膜沉積設(shè)備需求的快速增長(zhǎng): (1)公司的 PECVD、ALD、SACVD 三類產(chǎn)品在中國(guó)大陸市場(chǎng)空間約 150 億元人 民幣(

36、2020 年),2021 年公司國(guó)內(nèi)市場(chǎng)份額僅 5.39%。 我們認(rèn)為,在國(guó)產(chǎn)替代大背 景下,公司憑借稀缺性(在多個(gè)環(huán)節(jié)為國(guó)產(chǎn)唯一供應(yīng)商)優(yōu)勢(shì),市占率有望逐步提 升; (2)下游資本開(kāi)支快速增長(zhǎng)、芯片工藝進(jìn)步及結(jié)構(gòu)復(fù)雜化提高薄膜設(shè)備需求、先進(jìn) 制程產(chǎn)線對(duì)薄膜設(shè)備需求量陡增,是驅(qū)動(dòng)薄膜沉積設(shè)備持續(xù)快速增長(zhǎng)的幾大要素。4.1. 公司三類產(chǎn)品對(duì)應(yīng)國(guó)內(nèi)市場(chǎng)空間約 150 億人民幣,市占率有望持續(xù)提 升拓荊科技 PECVD、ALD、SACVD 三類產(chǎn)品在中國(guó)大陸市場(chǎng)空間約 150 億元人民 幣(2020 年)。公司現(xiàn)有 PECVD、ALD、SACVD 三類產(chǎn)品,根據(jù)國(guó)內(nèi)半導(dǎo)體設(shè)備 市場(chǎng)占全球市場(chǎng) 26.

37、29%的比例和 2020 年全球薄膜沉積設(shè)備 172 億美元市場(chǎng)規(guī)模測(cè) 算,2020 年國(guó)內(nèi)薄膜沉積設(shè)備市場(chǎng)規(guī)模約為 45.22 億美元。PECVD 和 ALD 分別占 薄膜沉積設(shè)備市場(chǎng)比例為 33%和 11%,2020 年國(guó)內(nèi)市場(chǎng) PECVD 市場(chǎng)規(guī)模約為 14.92 億美元,ALD 市場(chǎng)規(guī)模約為 4.97 億美元,SACVD 約 1.35 億美元(假設(shè)“其他”中 SACVD 占據(jù) 50%),合計(jì)約 150 億元人民幣,且在快速增長(zhǎng)。看好國(guó)產(chǎn)替代及稀缺性優(yōu)勢(shì)下公司份額逐步提升。公司 2021 年國(guó)內(nèi)市占率約 5.39%, 滲透率有望快速提升。從收入端來(lái)看,公司除 PECVD 設(shè)備已成一定體量

38、,市占率 6.96%;ALD 設(shè)備、SACVD 設(shè)備目前市占率分別為 1.27%、3.25%;2021 年合計(jì)市 占率 5.39%。 我們認(rèn)為,在國(guó)產(chǎn)替代大背景下,公司憑借稀缺性(在多個(gè)環(huán)節(jié)為國(guó) 產(chǎn)唯一供應(yīng)商)優(yōu)勢(shì),市占率有望逐步提升。PECVD 設(shè)備快速起量,SACVD、ALD 設(shè)備有望成為新的增長(zhǎng)極。隨著公司產(chǎn)品 逐漸受到下游認(rèn)可,PECVD 設(shè)備打開(kāi)局面,2021 年實(shí)現(xiàn)營(yíng)收 6.75 億元,PECVD 設(shè)備已全面覆蓋邏輯電路、DRAM 存儲(chǔ)、FLASH 閃存集成電路制造各技術(shù)節(jié)點(diǎn)產(chǎn) 線多種通用介質(zhì)材料薄膜沉積工序,并研發(fā)了 Lok、Lok、ACHM、ADC等先 進(jìn)介質(zhì)材料工藝,拓寬公司

39、 PECVD 產(chǎn)品在晶圓制造產(chǎn)線薄膜沉積工序的應(yīng)用。 ALD、SACVD 設(shè)備逐漸取得突破,有望成為新的增長(zhǎng)極。4.2. 國(guó)內(nèi)晶圓廠資本開(kāi)支在未來(lái)幾年持續(xù)景氣國(guó)內(nèi)晶圓制造資本開(kāi)支旺盛。中國(guó)大陸晶圓廠新建產(chǎn)能進(jìn)程加快, 2020 年以來(lái), 國(guó)內(nèi)包括長(zhǎng)江存儲(chǔ)、廣州粵芯、上海積塔、中芯南方、士蘭微(廈門)、廣東海芯項(xiàng) 目等產(chǎn)線也取得新進(jìn)展。半導(dǎo)體行業(yè)整體快速增長(zhǎng),終端半導(dǎo)體產(chǎn)品的不斷迭代推 動(dòng)晶圓廠開(kāi)發(fā)新的工藝,為設(shè)備行業(yè)提供廣闊的市場(chǎng)空間。 據(jù) SEMI 統(tǒng)計(jì),自 2020 年開(kāi)始到 2024 年為止,將有 60 座 12 寸晶圓廠新建或擴(kuò) 建,其中中國(guó)大陸有 15 座。據(jù)測(cè)算,大陸內(nèi)資晶圓廠 1

40、2 寸和 8 英寸潛在擴(kuò)產(chǎn)產(chǎn)能 約分別為 120 萬(wàn)片/月及 42 萬(wàn)片/月,對(duì)應(yīng)半導(dǎo)體薄膜沉積設(shè)備需求旺盛。4.3. 先進(jìn)工藝&先進(jìn)制程增加薄膜沉積的材料和環(huán)節(jié)芯片工藝進(jìn)步及結(jié)構(gòu)復(fù)雜化提高薄膜設(shè)備需求。隨著集成電路制造不斷向更先進(jìn)工 藝發(fā)展,單位面積集成的電路規(guī)模不斷擴(kuò)大,芯片內(nèi)部立體結(jié)構(gòu)日趨復(fù)雜,所需要 的薄膜層數(shù)越來(lái)越多,對(duì)絕緣介質(zhì)薄膜、導(dǎo)電金屬薄膜的材料種類和性能參數(shù)不斷 提出新的要求。在 90nm CMOS 工藝,大約需要 40 道薄膜沉積工序。在 3nm FinFET 工藝產(chǎn)線,超過(guò) 100 道薄膜沉積工序,涉及的薄膜材料由 6 種增加到近 20 種,對(duì) 于薄膜顆粒的要求也由微米級(jí)提高到納米級(jí)。薄膜設(shè)備的發(fā)展支撐了集成電路制造 工藝向更小制程發(fā)展。公司產(chǎn)品可以滿足下游集成電路制造客戶產(chǎn)線對(duì)于不同材料、不同芯片結(jié)構(gòu)薄膜沉積工序的設(shè)備需求。在 FLASH 存儲(chǔ)芯片領(lǐng)域,隨著主流制造工藝已由

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論