常用可編程接口芯片定時(shí)器_第1頁
常用可編程接口芯片定時(shí)器_第2頁
常用可編程接口芯片定時(shí)器_第3頁
常用可編程接口芯片定時(shí)器_第4頁
常用可編程接口芯片定時(shí)器_第5頁
已閱讀5頁,還剩49頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、常用可編程接口芯片定時(shí)器第1頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三8.1 定時(shí)控制接口定時(shí)控制具有極為重要的作用微機(jī)控制系統(tǒng)中常需要定時(shí)中斷、定時(shí)檢測、定時(shí)掃描等實(shí)時(shí)操作系統(tǒng)和多任務(wù)操作系統(tǒng)中要定時(shí)進(jìn)行進(jìn)程調(diào)度PC機(jī)的日時(shí)鐘計(jì)時(shí)、DRAM刷新定時(shí)和揚(yáng)聲器音調(diào)控制都采用了定時(shí)控制技術(shù)可編程定時(shí)器芯片軟硬件相結(jié)合、方便靈活的定時(shí)電路軟件延時(shí)方法處理器執(zhí)行延時(shí)子程序第2頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三8.1.1 定時(shí)器8253/8254定時(shí)器(計(jì)數(shù)器):由數(shù)字電路中的計(jì)數(shù)電路構(gòu)成,記錄輸入脈沖的個(gè)數(shù)脈沖信號(hào)具有一定隨機(jī)性,往往通過脈沖的個(gè)數(shù)可以獲知外設(shè)的狀態(tài)

2、變化次數(shù)(計(jì)數(shù))脈沖信號(hào)的周期固定(使用高精度晶振產(chǎn)生脈沖信號(hào)),個(gè)數(shù)乘以周期就是時(shí)間間隔(定時(shí))Intel 8253/8254可編程間隔定時(shí)器3個(gè)獨(dú)立的16位計(jì)數(shù)器通道每個(gè)計(jì)數(shù)器有6種工作方式第3頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三1. 內(nèi)部結(jié)構(gòu)和引腳與計(jì)算機(jī)系統(tǒng)總線的接口3個(gè)相互獨(dú)立的計(jì)數(shù)器通道,結(jié)構(gòu)完全相同計(jì)數(shù)器0計(jì)數(shù)器1計(jì)數(shù)器2第4頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三第5頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三每個(gè)計(jì)數(shù)器通道16位減法計(jì)數(shù)器16位預(yù)置寄存器輸出鎖存器預(yù)置寄存器GATECLKOUT減1計(jì)數(shù)器輸出鎖存器第6頁,共54頁

3、,2022年,5月20日,5點(diǎn)32分,星期三定時(shí)器外設(shè)引腳CLK時(shí)鐘輸入信號(hào)在計(jì)數(shù)過程中,此引腳上每輸入一個(gè)時(shí)鐘信號(hào)(下降沿),計(jì)數(shù)器的計(jì)數(shù)值減1GATE門控輸入信號(hào)控制計(jì)數(shù)器工作,可分成電平控制和上升沿控制兩種類型OUT計(jì)數(shù)器輸出信號(hào)當(dāng)一次計(jì)數(shù)過程結(jié)束(計(jì)數(shù)值減為0),OUT引腳上將產(chǎn)生一個(gè)輸出信號(hào)第7頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三連接處理器引腳D7D0數(shù)據(jù)線A1A0地址線注意,當(dāng)在8086,IA-32構(gòu)架上使用,一般通過系統(tǒng)數(shù)據(jù)總線D7D0傳送數(shù)據(jù),這時(shí)應(yīng)該使用偶數(shù)地址(A0=0)RD*讀信號(hào)WR*寫信號(hào)CS*片選信號(hào),假設(shè)A15A3為0000 0000 0100

4、 0*0計(jì)算機(jī) A2 A1CS* A1 A0I/O地址讀操作RD*寫操作WR*0 0 00 0 10 1 00 1 140H42H(41H)44H(42H)46H(43H)讀計(jì)數(shù)器0讀計(jì)數(shù)器1讀計(jì)數(shù)器2無操作寫計(jì)數(shù)器0寫計(jì)數(shù)器1寫計(jì)數(shù)器2寫控制字奇數(shù)地址有誤第8頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三可編程計(jì)數(shù)器/定時(shí)器功能功能體現(xiàn)在兩個(gè)方面:一是:作為計(jì)數(shù)器。即在設(shè)置好計(jì)數(shù)初值后,便開始減1計(jì)數(shù),減到0時(shí),輸出一個(gè)信號(hào)二是:作為定時(shí)器。即在設(shè)置好定時(shí)時(shí)間常數(shù)后,便開始減一計(jì)數(shù),并按定時(shí)時(shí)間常數(shù)不斷地輸出時(shí)鐘周期整數(shù)倍的定時(shí)信號(hào)。兩者之間的差別:計(jì)數(shù)器只輸出一個(gè)信號(hào),除非沖服觸

5、發(fā)定時(shí)器輸出連續(xù)信號(hào)(減到0后,自動(dòng)回復(fù)時(shí)間常數(shù)初值并重新開始計(jì)數(shù))。第9頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三典型用處在多任務(wù)的分時(shí)系統(tǒng)中作為中斷信號(hào)實(shí)現(xiàn)程序的切換。可往I/O設(shè)備輸出精確的定時(shí)信號(hào)。作為一個(gè)可編程的波特率發(fā)生器。實(shí)現(xiàn)時(shí)間延遲。第10頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三基本結(jié)構(gòu)原理原理就是可預(yù)置初值的減法計(jì)數(shù)器。主要組成包括:(1)初值寄存器接收并保存CPU送來的初值(2)計(jì)數(shù)寄存器從初值寄存器接收計(jì)數(shù)初值,減法計(jì)數(shù)器。 計(jì)數(shù)脈沖就是時(shí)鐘輸入信號(hào),在門控信號(hào)有效時(shí)開始計(jì)數(shù)。(3)控制寄存器接收CPU的控制信號(hào),選擇不同的工作模式等(4)狀

6、態(tài)寄存器保存計(jì)數(shù)器的狀態(tài)基本原理圖如下圖第11頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三第12頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三2. 工作方式8253有6種工作方式,由方式控制字確定每種工作方式的過程類似: 設(shè)定工作方式 設(shè)定計(jì)數(shù)初值 硬件啟動(dòng) 計(jì)數(shù)初值進(jìn)入減1計(jì)數(shù)器 每輸入一個(gè)時(shí)鐘計(jì)數(shù)器減1的計(jì)數(shù)過程 計(jì)數(shù)過程結(jié)束第13頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(1)定時(shí)器方式0:計(jì)數(shù)結(jié)束中斷GATEOUTCLK 031244方式0WR設(shè)定工作方式設(shè)定計(jì)數(shù)初值計(jì)數(shù)值送入計(jì)數(shù)器計(jì)數(shù)過程計(jì)數(shù)結(jié)束第14頁,共54頁,2022年,5月20日,5點(diǎn)32

7、分,星期三方式0的波形如圖所示.當(dāng)控制字寫入控制字寄存器后,輸出OUT就變低,當(dāng)計(jì)數(shù)值寫入計(jì)數(shù)器后開始計(jì)數(shù),在整個(gè)計(jì)數(shù)過程中,OUT保持為低,當(dāng)計(jì)數(shù)到0后,OUT變高;GATE的高低電平控制計(jì)數(shù)過程是否進(jìn)行。寫控制字寫計(jì)數(shù)初值GATE=1計(jì)數(shù)第15頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三工作方式0有如下特點(diǎn): 計(jì)數(shù)器只計(jì)一遍,當(dāng)計(jì)數(shù)到0時(shí),不重新開始計(jì)數(shù)保持為高,直到輸入一新的計(jì)數(shù)值,OUT才變低,開始新的計(jì)數(shù); 計(jì)數(shù)值是在寫計(jì)數(shù)值命令后經(jīng)過一個(gè)輸入脈沖,才裝入計(jì)數(shù)器的,下一個(gè) 脈沖開始計(jì)數(shù),因此,如果設(shè)置計(jì)數(shù)器初值為N,則輸出OUT在N1個(gè) 脈沖后才能變高; 在計(jì)數(shù)過程中,可

8、由GATE信號(hào)控制暫停。 當(dāng)GATE0時(shí),暫停計(jì)數(shù); 當(dāng)GATE1時(shí),繼續(xù)計(jì)數(shù);第16頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三 在計(jì)數(shù)過程中可以改變計(jì)數(shù)值,且這種改變是立即有效的,分成兩種情況: 若是8位計(jì)數(shù),則寫入新值后的下一個(gè)脈沖按新值計(jì)數(shù);若是16位計(jì)數(shù),則 在寫入第一個(gè)字節(jié)后,停止計(jì)數(shù),寫入第二個(gè)字節(jié)后的下一個(gè)脈沖按新值計(jì)數(shù)。第17頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(2)定時(shí)器方式1:可編程單穩(wěn)脈沖設(shè)定工作方式設(shè)定計(jì)數(shù)初值硬件啟動(dòng)計(jì)數(shù)值送入計(jì)數(shù)器計(jì)數(shù)過程計(jì)數(shù)結(jié)束GATEOUTCLK 031244方式1WR第18頁,共54頁,2022年,5月20日,

9、5點(diǎn)32分,星期三方式1的波形如圖所示,CPU向8253寫入控制字后OUT變高,并保持,寫入計(jì)數(shù)值后并不立即計(jì)數(shù),只有當(dāng)外界GATE信號(hào)啟動(dòng)后(一個(gè)正脈沖)的下一個(gè)脈沖才開始計(jì)數(shù),OUT變低,計(jì)數(shù)到0后,OUT才變高,此時(shí)再來一個(gè)GATE正脈沖,計(jì)數(shù)器又開始重新計(jì)數(shù),輸出OUT再次變低,.因此輸出為一負(fù)脈沖,脈沖寬度受計(jì)數(shù)初值控制,由GATE信號(hào)觸發(fā)。第19頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三特點(diǎn)輸出OUT寬度為計(jì)數(shù)初值的單脈沖;輸出受門控信號(hào)GATE的控制,分三種情況:計(jì)數(shù)到0后,再來GATE脈沖,則重新開始計(jì)數(shù),OUT變低;在計(jì)數(shù)過程中來GATE脈沖,則從下一CLK脈沖

10、開始重新計(jì)數(shù),OUT保持為低;改變計(jì)數(shù)值后,只有當(dāng)GATE脈沖啟動(dòng)后,才按新值計(jì)數(shù),否則原計(jì)數(shù)過程不受影響,仍繼續(xù)進(jìn)行,即新值的改變是從下一個(gè)GATE開始的。計(jì)數(shù)值是多次有效的,每來一個(gè)GATE脈沖,就自動(dòng)裝入計(jì)數(shù)值開始從頭計(jì)數(shù),因此在初始化時(shí),計(jì)數(shù)值寫入一次即可。第20頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(3)方式2 分頻器方式2的波形如圖所示。在這種方式下,CPU輸出控制字后,輸出OUT就變高,寫入計(jì)數(shù)值后的下一個(gè)CLK脈沖開始計(jì)數(shù),計(jì)數(shù)到1后,輸出OUT變低,經(jīng)過一個(gè)CLK以后,OUT恢復(fù)為高,計(jì)數(shù)器重新開始計(jì)數(shù)。因此在這種方式下,只需寫入一次計(jì)數(shù)值,就能連續(xù)工作,輸

11、出連續(xù)相同間隔的負(fù)脈沖(前提:GATE保持為高),即周期性地輸出,第21頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三特點(diǎn)通道可以連續(xù)工作;GATE可以控制計(jì)數(shù)過程,當(dāng)GATE為低時(shí)暫停計(jì)數(shù),恢復(fù)為高后重新從初值;(注意:該方式與方式0不同,方式0是繼續(xù)計(jì)數(shù))重新設(shè)置新的計(jì)數(shù)值即在計(jì)數(shù)過程中改變計(jì)數(shù)值,則新的計(jì)數(shù)值是下次有效的,同方式1。第22頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(4)定時(shí)器方式3:方波發(fā)生器03124GATEOUTCLK 4方式3031240312403124WR第23頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三方式3的波形如圖所示,

12、這種方式下的輸出與方式2都是周期性的,不同的是:輸出的是方波。當(dāng)計(jì)數(shù)值N是偶數(shù),輸出正負(fù)脈沖寬度 N/2的方波當(dāng)計(jì)數(shù)值N是奇數(shù),正脈沖寬度 (N+1)/2, 負(fù)脈沖寬度(N-1)/2。第24頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三特點(diǎn) 通道可以連續(xù)工作; 關(guān)于計(jì)數(shù)值的奇偶,若為偶數(shù),則輸出標(biāo)準(zhǔn)方波,高低電平各為N/2個(gè);若為奇數(shù),則正脈沖寬度(N1)/2個(gè)CLK周期,負(fù)脈沖寬度(N1)/2個(gè)CLK周期; GATE信號(hào)能使計(jì)數(shù)過程重新開始,當(dāng)GATE0時(shí),停止計(jì)數(shù),當(dāng)GATE變高后,計(jì)數(shù)器重新裝入初值開始計(jì)數(shù),尤其是當(dāng)GATE0時(shí),若OUT此時(shí)為低,則立即變高,其它動(dòng)作同上;在計(jì)

13、數(shù)期間改變計(jì)數(shù)值不影響現(xiàn)行的計(jì)數(shù)過程,一般情況下,新的計(jì)數(shù)值是在現(xiàn)行半周結(jié)束后才裝入計(jì)數(shù)器。但若中間遇到有GATE脈沖,則在此脈沖后即裝入新值開始計(jì)數(shù)。第25頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(5)定時(shí)器方式4:軟件觸發(fā)選通信號(hào)GATEOUTCLK031244方式42233310WR第26頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三方式4的波形如圖所示。CPU寫入控制字后,OUT立即變高,寫入計(jì)數(shù)值開始計(jì)數(shù),當(dāng)計(jì)數(shù)到0后,OUT變低,經(jīng)過一個(gè)CLK脈沖后,OUT變高,這種計(jì)數(shù)是一次性的(與方式0有相似之處),只有當(dāng)寫入新的計(jì)數(shù)值后才開始下一次計(jì)數(shù)。第27頁,共

14、54頁,2022年,5月20日,5點(diǎn)32分,星期三當(dāng)計(jì)數(shù)值為N時(shí),則間隔N1個(gè)CLK脈沖輸出一個(gè)負(fù)脈沖(計(jì)數(shù)一次有效);GATE0時(shí),禁止計(jì)數(shù),GATE1時(shí),恢復(fù)繼續(xù)計(jì)數(shù);在計(jì)數(shù)過程中重新裝入新的計(jì)數(shù)值,則該值是立即有效的(若為16位計(jì)數(shù)值,則裝入第一個(gè)字節(jié)時(shí)停止計(jì)數(shù),裝入第二個(gè)字節(jié)后開始按新值計(jì)數(shù))。第28頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(6)定時(shí)器方式5:硬件觸發(fā)選通信號(hào)GATEOUTCLK031244方式522333110WR第29頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三當(dāng)控制字寫入后,OUT立刻變高,寫入計(jì)數(shù)值后并不立即開始計(jì)數(shù),而是由GATE的

15、上升沿觸發(fā)啟動(dòng)計(jì)數(shù)的,當(dāng)計(jì)數(shù)到0時(shí),輸出變低,經(jīng)過一個(gè)CLK之后,輸出恢復(fù)為高,計(jì)數(shù)停止,若再有GATE脈沖來,則重新裝入計(jì)數(shù)值開始計(jì)數(shù),上述過程重復(fù)。 第30頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三特點(diǎn)在這種方式下,若設(shè)置的計(jì)數(shù)值是N,則在GATE脈沖后,經(jīng)過(N1)個(gè)CLK才一個(gè)負(fù)脈沖;若在計(jì)數(shù)過程中又來一個(gè)GATE脈沖,則重新裝入初值開始計(jì)數(shù),輸出不變,即計(jì)數(shù)值多次有效;若在計(jì)數(shù)過程中修改計(jì)數(shù)值,則該計(jì)數(shù)值在下一個(gè)GATE脈沖后裝入開始按此值計(jì)數(shù)。第31頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三3. 8253/8254的編程8253是可編程接口芯片8253/

16、8254需要處理器對(duì)他進(jìn)行初始化編程,才能正確工作。編程指的是設(shè)置控制字設(shè)置時(shí)間常數(shù)等第32頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(1)控制寄存器與控制字有兩個(gè)模式設(shè)置寄存器和讀出控制寄存器 模式設(shè)置控制字(a)BCD計(jì)數(shù)初值格式,=0,二進(jìn)制 =1,BCD碼地址:最高端口控制端口第33頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(b)M2,M1,M0模式選擇000 模式0001模式1X10模式2X11模式3100模式4101模式5(c)WR1,WR0讀/寫指示位。00對(duì)計(jì)數(shù)器進(jìn)行鎖存,以便讀出01只讀/寫低8位10只讀/寫高8位11先讀/寫低8位,后讀/寫高8位

17、第34頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(d)SC1,SC0 計(jì)數(shù)器選擇00選擇計(jì)數(shù)器001選擇計(jì)數(shù)器110選擇計(jì)數(shù)器211讀出控制字的標(biāo)識(shí)碼,只要該2位=0,寫入的是讀出模式控制字第35頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三控制字寫入控制字I/O地址:A1A011計(jì)數(shù)器讀寫格式工作方式數(shù)制D7D6D5D4D3D2D1D000 計(jì)數(shù)器001 計(jì)數(shù)器110 計(jì)數(shù)器211 非法 (8253)11 讀回命令 (8254)00 計(jì)數(shù)器鎖存命令 01 只讀寫低字節(jié)10 只讀寫高字節(jié)11 先讀寫低字節(jié) 后讀寫高字節(jié)000 方式0001 方式1*10 方式2*11 方

18、式3100 方式4101 方式50 二進(jìn)制1 十進(jìn)制第36頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三設(shè)置模式設(shè)置控制字舉例假設(shè)8253端口:80H,82H,84H,86H,通道0使用二進(jìn)制計(jì)數(shù),工作在模式0,通道1使用BCD計(jì)數(shù),模式1,通道2使用二進(jìn)制計(jì)數(shù),模式2,全部通道16位計(jì)數(shù)器,先寫低8位,后寫高8位。通道0模式控制字: 00110000B通道1模式控制字: 01110011B通道2模式控制字: 10110110BMOVDX,86H;MOVAL,30H;控制字OUTDX,ALMOVAL,73H;OUTDX,ALMOVAL,0B6HOUTDX,AL第37頁,共54頁,20

19、22年,5月20日,5點(diǎn)32分,星期三. 讀出控制字D7,D6,=11,為讀出模式控制字,這時(shí)D0=0D5:=0,將所選定的計(jì)數(shù)器當(dāng)前計(jì)數(shù)值鎖存,以便后面讀取D4:=0,將所選定的計(jì)數(shù)器的狀態(tài)進(jìn)行鎖存,D3,D2,D1:分別對(duì)應(yīng)3個(gè)計(jì)數(shù)通道,=1,選中通道被鎖存可以同時(shí)鎖存多個(gè)通道的計(jì)數(shù)器的計(jì)數(shù)值但不能同時(shí)鎖存多個(gè)計(jì)數(shù)器的狀態(tài)地址:最高端口控制端口 寫第38頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(2) 狀態(tài)寄存器只有8254有狀態(tài)端口,8253無該端口。D7: 輸出端OUT的狀態(tài)D6: 表示初值是否已裝入計(jì)數(shù)器,=0:已裝入,=1未裝入D5D0:與模式寄存器含義相同第39頁,

20、共54頁,2022年,5月20日,5點(diǎn)32分,星期三(3) 編程命令與初始化編程編程原則: 設(shè)置初值前必須先寫模式控制字 初值設(shè)置要符合控制字中的格式規(guī)定 要讀取計(jì)數(shù)器的當(dāng)前值(或讀取狀態(tài)字),必須用控制字先鎖定,再讀取。編程命令有兩類: 寫入命令 讀出命令第40頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三 寫入命令3個(gè)有三個(gè)(1)設(shè)置模式控制字命令 設(shè)置工作模式等。(2)設(shè)置初始值命令 (3)鎖存命令 與讀出命令配合,在讀出前,要先鎖存第41頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三 讀出命令2個(gè)(1)讀取計(jì)數(shù)器當(dāng)前的值。要先鎖定,然后再讀出。(2)對(duì)8254,還可

21、讀取狀態(tài)字 要先鎖定,然后再讀出。例如要讀出通道2的計(jì)數(shù)值,先向讀出控制寄存器寫鎖定命令端口地址:70H,72H,74H,76H例如要讀出通道2的 11 0 1 1 0 0 0 第42頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三讀出控制字D7,D6,=11,為讀出模式控制字,這時(shí)D0=0D5:=0,將所選定的計(jì)數(shù)器當(dāng)前計(jì)數(shù)值鎖存,以便后面讀取D4:=0,將所選定的計(jì)數(shù)器的狀態(tài)進(jìn)行鎖存,D3,D2,D1:分別對(duì)應(yīng)3個(gè)計(jì)數(shù)通道,=1,選中通道被鎖存可以同時(shí)鎖存多個(gè)通道的計(jì)數(shù)器的計(jì)數(shù)值但不能同時(shí)鎖存多個(gè)計(jì)數(shù)器的狀態(tài)地址:最高端口控制端口 寫第43頁,共54頁,2022年,5月20日,5點(diǎn)

22、32分,星期三方式控制字編程示例; 8253的計(jì)數(shù)器0、1、2端口和控制端口地址: 40H43H;設(shè)置其中計(jì)數(shù)器0為方式0;采用二進(jìn)制計(jì)數(shù),先低后高寫入計(jì)數(shù)值mov al,30h;方式控制字:30H00 11 000 0Bout 43h,al;寫入控制端口:43H第44頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三3. 編程:寫入計(jì)數(shù)值選擇二進(jìn)制時(shí)計(jì)數(shù)值范圍:0000HFFFFH0000H是最大值,代表65536選擇十進(jìn)制(BCD碼)計(jì)數(shù)值范圍:000099990000代表最大值10000計(jì)數(shù)值寫入計(jì)數(shù)器各自的I/O地址按方式控制字規(guī)定的讀寫格式進(jìn)行第45頁,共54頁,2022年,5

23、月20日,5點(diǎn)32分,星期三計(jì)數(shù)值編程示例; 8253的計(jì)數(shù)器0、1、2端口和控制端口地址:40H43H;設(shè)置計(jì)數(shù)器0采用二進(jìn)制計(jì)數(shù);寫入計(jì)數(shù)初值:1024(400H)mov ax,1024;計(jì)數(shù)初值:1024(400H);寫入計(jì)數(shù)器0地址:40Hout 40h,al;寫入低字節(jié)計(jì)數(shù)初值mov al,ahout 40h,al;寫入高字節(jié)計(jì)數(shù)初值第46頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三8.1.2 定時(shí)器的應(yīng)用(1)方波脈沖要求利用8253在OUT2輸出2KHZ方波編寫相應(yīng)的程序第47頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三地址:1000 000 * XX0(

24、沒有使用的地址信號(hào),0和1都可以,這里使用0比較方便)通道0數(shù)據(jù)寄存器:8000H通道1數(shù)據(jù)寄存器:8002H通道2數(shù)據(jù)寄存器:8004H控制寄存器 :8006H方波:通道3選擇方式3,2MHz-2KHz分頻系數(shù)1000,使用二進(jìn)制,控制字:10 11 011 0其它通道不用 時(shí)間常數(shù):100003E8H如果要求輸出脈沖寬度為1個(gè)時(shí)鐘周期的分頻脈沖而不是方波脈沖,就選用方式2第48頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三CODE SEGMENT ASSUME CS: CODE,DS:DATASTART:MOVDX, 8006H;控制字端口MOVAL, 0B6H;控制字OUTDX

25、, ALMOVDX, 8004H;通道2時(shí)間常數(shù)端口MOVAL, 0E8H;低8位時(shí)間常數(shù)OUTDX, ALMOVAL, 03H;高8 位時(shí)間常數(shù)OUTDX, ALJMP $;沒有其他工作,只產(chǎn)生脈沖,故;完成設(shè)置后,在此死循環(huán)CODE ENDSEND START第49頁,共54頁,2022年,5月20日,5點(diǎn)32分,星期三(2) 定時(shí)中斷mov al,36h; 計(jì)數(shù)器0為方式3,二進(jìn)制計(jì)數(shù); 先低后高寫入計(jì)數(shù)值out 43h,al; 寫入方式控制字mov al,0; 計(jì)數(shù)值為0out 40h,al; 寫入低字節(jié)計(jì)數(shù)值out 40h,al; 寫入高字節(jié)計(jì)數(shù)值計(jì)數(shù)器0:方式3,計(jì)數(shù)值:65536,輸出方波頻率:1.19318MHz6553618.206Hz,不斷產(chǎn)生OUT0端接8259A的IRQ0,每秒產(chǎn)生18.206次中斷請求,或說每隔55ms(54.925493ms)申請一次中斷DOS系統(tǒng)利用計(jì)數(shù)器0的這個(gè)特點(diǎn),通過08號(hào)中斷服務(wù)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論