2014研究生入學(xué)考試計(jì)算機(jī)組成原理章節(jié)訓(xùn)練及答案及疑難解析及大綱_第1頁
2014研究生入學(xué)考試計(jì)算機(jī)組成原理章節(jié)訓(xùn)練及答案及疑難解析及大綱_第2頁
2014研究生入學(xué)考試計(jì)算機(jī)組成原理章節(jié)訓(xùn)練及答案及疑難解析及大綱_第3頁
2014研究生入學(xué)考試計(jì)算機(jī)組成原理章節(jié)訓(xùn)練及答案及疑難解析及大綱_第4頁
已閱讀5頁,還剩107頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

研究生入學(xué)考試計(jì)算機(jī)組成原理課程全國統(tǒng)考大綱r考查目標(biāo)」.理解單處理器計(jì)算機(jī)系統(tǒng)中各部件的內(nèi)部工作原理、組成結(jié)構(gòu)以及相互連接方式,具有完整的計(jì)算機(jī)系統(tǒng)的整機(jī)概念。.理解計(jì)算機(jī)系統(tǒng)層次化結(jié)構(gòu)概念,熟悉硬件與軟件之間的界面,掌握指令集體系結(jié)構(gòu)的基本知識(shí)和基本實(shí)現(xiàn)方法。.能夠運(yùn)用計(jì)和機(jī)組成的基本原理和基本方法,對(duì)有關(guān)計(jì)算機(jī)硬件系統(tǒng)中的理論和實(shí)際問題進(jìn)行計(jì)算、分析,并能對(duì)ー些基本部件進(jìn)行簡單設(shè)計(jì)。「考查內(nèi)容」一、計(jì)算機(jī)系統(tǒng)概述(一)計(jì)算機(jī)發(fā)展歷程(二)計(jì)算機(jī)系統(tǒng)層次結(jié)構(gòu).計(jì)算機(jī)硬件的基本組成.計(jì)算機(jī)軟件的分類.計(jì)算機(jī)的工作過程(三)計(jì)算機(jī)性能指標(biāo)吞吐量、響應(yīng)時(shí)間;CPU時(shí)鐘周期、主頻、CPLCPU執(zhí)行時(shí)間;MIPS、MFLOPS.二、數(shù)據(jù)的表示和運(yùn)算(一)數(shù)制與編碼.進(jìn)位計(jì)數(shù)制及其相互轉(zhuǎn)換.真值和機(jī)器數(shù).BCD碼.字符與字符串.校驗(yàn)碼(二)定點(diǎn)數(shù)的表示和運(yùn)算.定點(diǎn)數(shù)的衣示無符號(hào)數(shù)的表示;有符號(hào)數(shù)的表示。.定點(diǎn)數(shù)的運(yùn)算定點(diǎn)數(shù)的移位運(yùn)算;原碼定點(diǎn)數(shù)的加/減運(yùn)算;補(bǔ)碼定點(diǎn)數(shù)的加/減運(yùn)算;定點(diǎn)數(shù)的乘/除運(yùn)算;溢出概念和判別方法。(三)浮點(diǎn)數(shù)的表示和運(yùn)算.浮點(diǎn)數(shù)的表示浮點(diǎn)數(shù)的表示范圍;IEEE754標(biāo)準(zhǔn).浮點(diǎn)數(shù)的加/減運(yùn)算(四)算術(shù)邏輯單元ALU.串行加法器和并行加法器.算術(shù)邏輯單元ALU的功能和結(jié)構(gòu)三、存儲(chǔ)器層次結(jié)構(gòu)(-)存儲(chǔ)器的分類(二)存儲(chǔ)器的層次化結(jié)構(gòu)(三)半導(dǎo)體隨機(jī)存取存儲(chǔ)器.SRAM存儲(chǔ)器的匚作原理2.DRAM存儲(chǔ)器的工作原理(四)只讀存儲(chǔ)器(五)主存儲(chǔ)器與CPU的連接(六)雙口RAM和多模塊存儲(chǔ)器(七)高速緩沖存儲(chǔ)器(Cache).程序訪問的局部性.Cache的基本工作原理.Cache和主存之間的映射方式.Cache中主存塊的替換算法.Cache寫策略(ハ)虛擬存儲(chǔ)器.虛擬存儲(chǔ)器的基本概念.頁式虛擬存儲(chǔ)器.段式虛擬存儲(chǔ)器.段頁式虛擬存儲(chǔ)器.TLB(快表)四、指令系統(tǒng)(一)指令格式.指令的基本格式.定長操作碼指令格式.擴(kuò)展操作碼指令格式(二)指令的尋址方式.有效地址的概念.數(shù)據(jù)尋址和指令尋址.常見尋址方式(三)CISC和RISC的基本概念五、中央處理器(CPU)(一)CPU的功能和基本結(jié)構(gòu)(二)指令執(zhí)行過程(三)數(shù)據(jù)通路的功能和基本結(jié)構(gòu)(四)控制器的功能和工作原理.硬布線控制器.微程序控制器微程序、微指令和微命令;微指令的編碼方式:微地址的形式方式。(五)指令流水線.指令流水線的基本概念.超標(biāo)量和動(dòng)態(tài)流水線的基本概念六、總線()總線概述.總線的基本概念.總線的分類.總線的組成及性能指標(biāo)(-)總線仲裁.集中仲裁方式.分布仲裁方式(三)總線操作和定時(shí).同步定時(shí)方式.異步定時(shí)方式(四)總線標(biāo)準(zhǔn)七、輸入輸出(I/O)系統(tǒng)(一)I/O系統(tǒng)基本概念(二)外部設(shè)備.輸入設(shè)備;鍵盤、鼠標(biāo).輸出設(shè)備:顯示器、打印機(jī).外存儲(chǔ)器;硬盤存儲(chǔ)器、磁盤陣列、光盤存儲(chǔ)器(三)I/O接口(I/O控制器)1.1/0接口的功能和基本結(jié)構(gòu)2.1/0端口及其編址(四)I/O方式.程序查詢方式.程序中斷方式中斷的基本概念;中斷響應(yīng)過程;中斷處理過程;多重中斷和中斷屏蔽的概念。.DMA方式DMA控制器的組成;DMA傳送過程。.通道方式(-)課程的重點(diǎn)計(jì)算機(jī)系統(tǒng)概論部分;重點(diǎn)掌握計(jì)算機(jī)系統(tǒng)的層次結(jié)構(gòu)、計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)和計(jì)算機(jī)組成的概念、計(jì)算機(jī)的硬件組成、計(jì)算機(jī)的軟件組成、計(jì)算機(jī)的工作過程、計(jì)算機(jī)性能。運(yùn)算方法和運(yùn)算器部分;數(shù)值數(shù)據(jù)的表示方法中重點(diǎn)掌握數(shù)的機(jī)器碼表示及數(shù)的表示范圍、定點(diǎn)數(shù)據(jù)與浮點(diǎn)數(shù)據(jù)的格式;定點(diǎn)運(yùn)算中重點(diǎn)掌握補(bǔ)碼加法減法運(yùn)算、直接補(bǔ)碼陣列乘法運(yùn)算、原碼陣列除法運(yùn)算;浮點(diǎn)運(yùn)算中重點(diǎn)掌握浮點(diǎn)加法減法運(yùn)算。存儲(chǔ)系統(tǒng)部分;存儲(chǔ)器概述中重點(diǎn)掌握存儲(chǔ)器的分類、主存儲(chǔ)器的主要技術(shù)指標(biāo);半導(dǎo)體存儲(chǔ)器中重點(diǎn)掌握SRAM的組成、地址選擇線和存儲(chǔ)單元的概念、DRAM的刷新方式、ROM的分類及特點(diǎn)、主存儲(chǔ)器容量的擴(kuò)展;高速存儲(chǔ)器中重點(diǎn)掌握多模塊交叉存儲(chǔ)器的性能分析、相聯(lián)存儲(chǔ)器的工作原理;Cache存儲(chǔ)器中重點(diǎn)掌握Cache存儲(chǔ)器的性能參數(shù)、Cache存儲(chǔ)器的地址映像與變換、Cache的替換算法及應(yīng)用、Cache的取算法、Cache的寫策略;虛擬存儲(chǔ)器中重點(diǎn)掌握虛擬存儲(chǔ)器的地址映像與變換、虛擬存儲(chǔ)器的替換算法及應(yīng)用。指令系統(tǒng)部分;指令系統(tǒng)的發(fā)展與性能要求中重點(diǎn)掌握指令、程序、指令系統(tǒng)、系列機(jī)、CISC、RISC的概念,對(duì)指令系統(tǒng)性能的要求,以及計(jì)算機(jī)語言的分類;指令格式中重點(diǎn)掌握操作碼和地址碼的設(shè)計(jì)方法、指令字長與機(jī)器字長的關(guān)系;指令和數(shù)據(jù)的尋址方式中重點(diǎn)掌握指令的尋址方式、操作數(shù)的尋址方式、指令格式的分析與設(shè)計(jì)。中央處理器部分;CPU的功能和組成中重點(diǎn)掌握CPU的四大基本功能、CPU的基本組成、CPU中的主要寄存器及功能、操作控制器的分類、時(shí)序產(chǎn)生器的作用和體制;指令周期中重點(diǎn)掌握各類指令針對(duì)某ー具體CPU結(jié)構(gòu)的指令周期流程圖;微程序控制器中重點(diǎn)掌握微指令和微程序的概念、微指令格式、微程序控制器的組成和工作原理、微程序設(shè)計(jì);微程序設(shè)計(jì)技術(shù)中重點(diǎn)掌握微命令的編碼方法和微地址的形成方法;流水線技術(shù)中重點(diǎn)掌握并行性的兩重含義、實(shí)現(xiàn)并行處理技術(shù)的三種途徑、流水線的工作原理及分類、流水線中的三類相關(guān)問題及解決方法、流水線的性能分析??偩€結(jié)構(gòu)部分:重點(diǎn)掌握總線的四個(gè)特性、單機(jī)系統(tǒng)的三種總線結(jié)構(gòu)、總線的仲裁和通信。輸入/輸出系統(tǒng)部分:重點(diǎn)掌握!/O接口的功能、輸入/輸出設(shè)備的編址、I/O設(shè)備的數(shù)據(jù)傳送控制方式、程序的中斷方式及性能分析、DMA方式及性能分析、通道的種類。(-)課程的難點(diǎn)計(jì)算機(jī)系統(tǒng)概論部分:計(jì)算機(jī)性能。運(yùn)算方法和運(yùn)算器部分:浮點(diǎn)數(shù)據(jù)的表示范圍、補(bǔ)碼加法減法運(yùn)算器、直接補(bǔ)碼陣列乘法器、原碼陣列除法器。存儲(chǔ)系統(tǒng)部分:主存儲(chǔ)器容量的擴(kuò)展、Cache存儲(chǔ)器的地址映像與變換、Cache的替換算法及應(yīng)用、虛擬存儲(chǔ)器的地址映像與變換。指令系統(tǒng)部分:操作碼和地址碼的設(shè)計(jì)方法、指令格式的分析與設(shè)計(jì)。中央處理器部分:各類指令針對(duì)某ー具體CPU結(jié)構(gòu)的指令周期流程圖、微程序設(shè)計(jì)、流水線的性能分析??偩€結(jié)構(gòu)部分:總線的仲裁。輸入/輸出系統(tǒng)部分:中斷方式及性能分析、DMA方式及性能分析。習(xí)題1.馮?諾依曼型計(jì)算機(jī)的基本思想是什么?.簡述馮?諾依曼型計(jì)算機(jī)的基本特點(diǎn)。.按照存儲(chǔ)程序原理,馮?諾依曼型計(jì)算機(jī)必須具備哪些功能?4,計(jì)算機(jī)的發(fā)展經(jīng)歷了哪兒代?.未來計(jì)算機(jī)的發(fā)展趨勢是什么?.計(jì)算機(jī)系統(tǒng)可分為哪幾個(gè)層次?說明各層次的特點(diǎn)及其相互聯(lián)系。.分別解釋虛擬機(jī)器和實(shí)際機(jī)器的含義。.簡述計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)和計(jì)算機(jī)組成的含義,以及兩者研究內(nèi)容上的區(qū)別。.什么是計(jì)算機(jī)系統(tǒng)的硬件和軟件?

.計(jì)算機(jī)的硬件由哪些部件組成,它們各起什么作用?.什么叫計(jì)算機(jī)的軟件系統(tǒng)?計(jì)算機(jī)軟件按功能的不同可分為哪幾類?它們各起什么作用?.為什么說計(jì)算機(jī)系統(tǒng)的硬件和軟件在邏輯功能上是等效的?.假設(shè)在一臺(tái)40MHz處理機(jī)上運(yùn)行200000條指令的目標(biāo)代碼,程序主要由四種類型的指令所組成。根據(jù)程序跟蹤實(shí)驗(yàn)結(jié)果,已知指令混合比和每類指令的CPI值如表1.4所示。表1.4各類指令的指令混合比及每類指令的CPI值指令類型指令混合比CPI算術(shù)和邏輯60%1高速緩存命中的加載/存儲(chǔ)18%2轉(zhuǎn)移12%4高速緩存餘失的存儲(chǔ)器訪問10%8(1)試計(jì)算用上述跟蹤數(shù)據(jù)在單處理機(jī)上執(zhí)行該程序時(shí)的平均CP1;(2)根據(jù)(1)所得到的CPI,計(jì)算相應(yīng)的MIPS速率及程序的執(zhí)行時(shí)間。.某工作站采用時(shí)鐘頻率為15MHz、處理速率為10MIPS的處理機(jī)來執(zhí)行一個(gè)已知混合程序。假定每次存儲(chǔ)器存取為1周期延遲,試問:(1)此計(jì)算機(jī)的有效CPI是多少?(2)假定將處理機(jī)的時(shí)鐘頻率提高到30MHz,但存儲(chǔ)器子系統(tǒng)速率不變。這樣,每次存儲(chǔ)器存取需要兩個(gè)時(shí)鐘周期。如果30%的指令每條只需要一次存儲(chǔ)存取,而另外5%的指令每條需要兩次存儲(chǔ)存取,還假定已知混合程序的指令數(shù)不變,并與原工作站兼容,試求改進(jìn)后的處理機(jī)性能。.電子計(jì)算機(jī)按信息的形式及處理方式的不同,可分為哪幾類?.電子數(shù)字計(jì)算機(jī)按用途的不同,可分為哪幾類?.計(jì)算機(jī)按規(guī)模的不同,可分為哪幾類?.計(jì)算機(jī)按使用方式的不同,可分為哪幾類?.計(jì)算機(jī)按結(jié)構(gòu)的不同,可分為哪幾類?.簡述計(jì)算機(jī)的主要應(yīng)用領(lǐng)域。.馮?諾依曼型計(jì)算機(jī)的基本思想是存儲(chǔ)程序。該思想可以概括如ド:計(jì)算機(jī)要自動(dòng)完成解題任務(wù),必須將事先設(shè)計(jì)好的、用以描述計(jì)算機(jī)解題過程的程序如同數(shù)據(jù)ー樣采用二進(jìn)制形式存儲(chǔ)在機(jī)器中,計(jì)算機(jī)在工作時(shí)自動(dòng)髙速地從機(jī)器中逐條取出指令加以執(zhí)行。.馮?諾依曼型計(jì)算機(jī)的基本特點(diǎn)如ド:(1)計(jì)算機(jī)由運(yùn)算器、控制器、存儲(chǔ)器、輸入設(shè)備和輸出設(shè)備五大部件組成。(2)采用存儲(chǔ)程序的方式,程序和數(shù)據(jù)存放在同一存儲(chǔ)器中,并且沒有對(duì)兩者加以區(qū)分,指令和數(shù)據(jù)ー樣可以送到運(yùn)算器進(jìn)行運(yùn)算,即由指令組成的程序是可以修改的。(3)指令和數(shù)據(jù)均以二進(jìn)制編碼表示,采用二進(jìn)制運(yùn)算。(4)指令由操作碼和地址碼組成,操作碼用來表示操作的類型,地址碼用來表示操作數(shù)和操作結(jié)果的地址。操作數(shù)類型由操作碼決定,操作數(shù)本身不能判定其數(shù)據(jù)類型。(5)指令在存儲(chǔ)器中按其執(zhí)行順序存放,由指令計(jì)數(shù)器(又稱程序計(jì)數(shù)器)指明要執(zhí)行的指令所在的存儲(chǔ)單元的地址。一般情況下,每執(zhí)行完一條指令,指令計(jì)數(shù)器順序遞增。指令的執(zhí)行順序可按運(yùn)算結(jié)果或外界條件而改變,但是解題的步驟仍然是順序的。(6)機(jī)器以運(yùn)算器為中心,輸入/輸出設(shè)備與存儲(chǔ)器之間的數(shù)據(jù)傳送都通過運(yùn)算器。.按照存儲(chǔ)程序原理,馮?諾依曼型計(jì)算機(jī)必須具備五大功能:(1)輸入輸出功能。計(jì)算機(jī)必須有能力把原始數(shù)據(jù)和解題步驟輸入到機(jī)器中,同時(shí)也可以把計(jì)算結(jié)果和計(jì)算過程中的情況輸出給使用者。(2)記憶功能。計(jì)算機(jī)能夠存儲(chǔ)記憶原始數(shù)據(jù)和解題步驟,以及解題過程中產(chǎn)生的ー些中間結(jié)果。(3)計(jì)算功能。計(jì)算機(jī)應(yīng)能進(jìn)行一些基本的計(jì)算,并能利用這些基本計(jì)算組合成使用者所需的一切計(jì)算。(4)判斷功能。計(jì)算機(jī)在完成一步操作后,應(yīng)具備能從預(yù)先無法確定的幾種方案中選擇ー種操作方案的能力,以保證解題過程的正確性。(5)自我控制功能。計(jì)算機(jī)應(yīng)能保證程序執(zhí)行的正確性和各部件之間的協(xié)調(diào)性。.計(jì)算機(jī)的發(fā)展共經(jīng)歷了五代。第一代為電子管計(jì)算機(jī)時(shí)代(1946年?1957年)。第二代為晶體管計(jì)算機(jī)時(shí)代(1958年?1964年)。第三代為集成電路計(jì)算機(jī)時(shí)代(1965年?1971年)。第四代為大規(guī)模和超大規(guī)模集成電路計(jì)算機(jī)時(shí)代(1972年?1990年)。第五代為巨大規(guī)模集成電路計(jì)算機(jī)時(shí)代(1991年至今)。.未來的計(jì)算機(jī)將以巨大規(guī)模集成電路為基礎(chǔ),向巨型化、微型化、網(wǎng)絡(luò)化、智能化和多媒體化的方向發(fā)展。.計(jì)算機(jī)系統(tǒng)可分為微程序級(jí)、傳統(tǒng)機(jī)器級(jí)、操作系統(tǒng)級(jí)、匯編語言級(jí)、高級(jí)語言級(jí)、應(yīng)用語言級(jí)等六個(gè)層次。在微程序級(jí),微指令由硬件直接執(zhí)行;在傳統(tǒng)機(jī)器級(jí),用微程序解釋機(jī)器指令;在操作系統(tǒng)級(jí),一般用機(jī)器語言程序解釋作業(yè)控制語句等;在匯編語言級(jí),匯編語言程序經(jīng)匯編程序翻譯成機(jī)器語言程序;在高級(jí)語言級(jí),高級(jí)語言程序經(jīng)編譯程序或解釋程序翻譯成匯編語言程序(或是某種中間語言程序,或是機(jī)器語言程序);在應(yīng)用語言級(jí),應(yīng)用語言程序經(jīng)應(yīng)用程序包翻譯成高級(jí)語言程序。計(jì)算機(jī)各層次之間的相互聯(lián)系表現(xiàn)為,每ー級(jí)上都能進(jìn)行程序設(shè)計(jì),并且每ー級(jí)的語言總是通過低ー級(jí)的語言翻譯(匯編、編譯或解釋)來實(shí)現(xiàn)的。.以軟件為主實(shí)現(xiàn)的機(jī)器,稱之為虛擬機(jī)器。由硬件和固件實(shí)現(xiàn)的機(jī)器稱為實(shí)際機(jī)器。這里的機(jī)器是指能存儲(chǔ)和執(zhí)行程序的算法和數(shù)據(jù)結(jié)構(gòu)的集合體。.計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)是指由程序設(shè)計(jì)者所看到的ー個(gè)計(jì)算機(jī)系統(tǒng)的屬性,即概念性結(jié)構(gòu)和功能特性。計(jì)算機(jī)組成又稱計(jì)算機(jī)設(shè)計(jì),是指計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的邏輯實(shí)現(xiàn)。計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)研究的主要內(nèi)容是計(jì)算機(jī)系統(tǒng)的多級(jí)層次結(jié)構(gòu)中各級(jí)之間界面的定義及其上下的功能分配。通常所說的計(jì)算機(jī)系統(tǒng)結(jié)構(gòu),主要討論傳統(tǒng)機(jī)器級(jí)的系統(tǒng)結(jié)構(gòu),由機(jī)器語言設(shè)計(jì)者或編譯程序設(shè)計(jì)者所看到的機(jī)器物理系統(tǒng)的抽象或定義。它是機(jī)器語言程序設(shè)計(jì)者或是編譯程序生成系統(tǒng)為使其所設(shè)計(jì)或生成的程序能在機(jī)器上正確運(yùn)行,所需看到和遵循的計(jì)算機(jī)屬性。計(jì)算機(jī)組成研究的內(nèi)容主要包括機(jī)器內(nèi)部的數(shù)據(jù)流和控制流的組成以及邏輯設(shè)計(jì)等。它著眼于機(jī)器內(nèi)各事件的排序方式與控制機(jī)構(gòu)、各部件的功能以及各部件間的聯(lián)系。計(jì)算機(jī)組成的設(shè)計(jì)是按所希望達(dá)到的性能價(jià)格比,最佳、最合理的把各種設(shè)備和部件組成計(jì)算機(jī),以實(shí)現(xiàn)所確定的計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)。.硬件是指計(jì)算機(jī)中的電子線路和物理裝置。軟件是指計(jì)算機(jī)程序及運(yùn)用數(shù)據(jù)處理系統(tǒng)所必需的手續(xù)、規(guī)則和文件的總稱。.計(jì)算機(jī)的硬件由運(yùn)算器、控制器、存儲(chǔ)器、輸入設(shè)備和輸出設(shè)備等五大部件組成。運(yùn)算器的作用是進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算??刂破鞯淖饔檬钱a(chǎn)生計(jì)算機(jī)的全部操作控制信號(hào),対取指令、分析指令和執(zhí)行指令的操作過程進(jìn)行控制。存儲(chǔ)器的作用是存儲(chǔ)程序和存儲(chǔ)數(shù)據(jù)。輸入設(shè)備的作用是將原始數(shù)據(jù)和處理這些數(shù)據(jù)的程序送入計(jì)算機(jī)。輸出設(shè)備的作用是將計(jì)算結(jié)果轉(zhuǎn)化為用戶或者設(shè)備所能識(shí)別或者接收的信息形式。.?臺(tái)計(jì)算機(jī)中全部程序的集合,統(tǒng)稱為這臺(tái)計(jì)算機(jī)的軟件系統(tǒng)。計(jì)算機(jī)軟件按功能的不同可分為系統(tǒng)軟件和應(yīng)用軟件兩大類。系統(tǒng)軟件又稱系統(tǒng)程序,它是計(jì)算機(jī)設(shè)計(jì)者為了充分發(fā)揮計(jì)算機(jī)的效能而向用戶提供的ー系列軟件。這些軟件主要用于實(shí)現(xiàn)計(jì)算機(jī)系統(tǒng)的管理、調(diào)度、監(jiān)視和服務(wù)等功能。應(yīng)用軟件又稱應(yīng)用程序,它是用戶利用計(jì)算機(jī)來解決某些應(yīng)用問題而編制的各種程序。這些軟件主要用于實(shí)現(xiàn)ー些具體的應(yīng)用,如科學(xué)計(jì)算、數(shù)據(jù)處理、過程控制、工程設(shè)計(jì)、企業(yè)管理、情報(bào)檢索等。.因?yàn)橥贿壿嫻δ芗瓤梢杂密浖部梢杂糜布蚬碳?shí)現(xiàn),從原理上講,軟件實(shí)現(xiàn)的功能完全可以用硬件或固件完成,同樣,硬件實(shí)現(xiàn)的邏輯功能也可以由軟件的模擬來完成,只是性能、價(jià)格以及實(shí)現(xiàn)的難易程度不同而已。一般而言,用硬件實(shí)現(xiàn)的功能可以具有較高的執(zhí)行速度,成本也相對(duì)較高,由于硬件不易更改,靈活性也較差。但是硬件是基礎(chǔ),通常由硬件實(shí)現(xiàn)一些最基本的功能,軟件則實(shí)現(xiàn)ー些比較復(fù)雜的功能,作為硬件的擴(kuò)充。.(1)2.24CP1;(2)17.86M1PS;0.0112s.(1)1.5CPI;(2)15.8MIPS.電子計(jì)算機(jī)按信息的形式及處理方式的不同,可分為電子數(shù)字計(jì)算機(jī)、電子模擬計(jì)算機(jī)和數(shù)字模擬混合計(jì)算機(jī)。.電子數(shù)字計(jì)算機(jī)按用途的不同,可分為專用計(jì)算機(jī)和通用計(jì)算機(jī)。.計(jì)算機(jī)按規(guī)模的不同,可分為嵌入式計(jì)算機(jī)、微型計(jì)算機(jī)、工作站、小型計(jì)算機(jī)、大型計(jì)算機(jī)和超級(jí)計(jì)算機(jī)六類。.計(jì)算機(jī)按使用方式的不同,可分為嵌入式計(jì)算機(jī)、桌面計(jì)算機(jī)和服務(wù)器。.計(jì)算機(jī)按結(jié)構(gòu)的不同,可分為馮?諾依曼結(jié)構(gòu)(又稱普林斯頓結(jié)構(gòu))的計(jì)算機(jī)和非馮?諾依曼結(jié)構(gòu)(又稱哈佛結(jié)構(gòu))的計(jì)算機(jī)。.計(jì)算機(jī)的主要應(yīng)用領(lǐng)域包括科學(xué)計(jì)算、數(shù)據(jù)處理、計(jì)算機(jī)控制、計(jì)算機(jī)輔助設(shè)計(jì)與制造、人工智能、嵌入式應(yīng)用、網(wǎng)絡(luò)應(yīng)用和多媒體技術(shù)等。習(xí)題2.寫出ド列各數(shù)的原碼、反碼、ネト碼、移碼(用8位二進(jìn)制表示),其中MSB是最高位(符號(hào)位),LSB是最低位。如果是小數(shù),則小數(shù)點(diǎn)在MSB之后;如果是整數(shù),則小數(shù)點(diǎn)在LSB之后。(1)-59/64 (2)27/128 (3)-127/128 (4)用小數(shù)表示ー1(5)用整數(shù)表示-1(6)-127 (7)35 (8)-128.設(shè)[x]補(bǔ)=Xo.XiX2X3X4,其中Xi取〇或1,若要使X>—0.5,則Xo、Xi、X2、X3、X4的取值應(yīng)滿足什么條件?.若32位定點(diǎn)小數(shù)的最高位為符號(hào)位,用補(bǔ)碼表示,則所能表示的最大正數(shù)為,最小正數(shù)為,最大負(fù)數(shù)為,最小負(fù)數(shù)為;若32位定點(diǎn)整數(shù)的最高位為符號(hào)位,用原碼表示,則所能表示的最大正數(shù)為,最小正數(shù)為,最大負(fù)數(shù)為,最小負(fù)數(shù)為0.若機(jī)器字長為32位,在浮點(diǎn)數(shù)據(jù)表示時(shí)階符占1位,階碼值占7位,數(shù)符占1位,尾數(shù)值占23位,階碼用移碼表示,尾數(shù)用原碼表示,則該浮點(diǎn)數(shù)格式所能表示的最大正數(shù)為,最小正數(shù)為,最大負(fù)數(shù)為,最小負(fù)數(shù)為〇.某機(jī)浮點(diǎn)數(shù)字長為18位,格式如圖2.35所示,已知階碼(含階符)用補(bǔ)碼表示,尾數(shù)(含數(shù)符)用原碼表示。(1)將(-1027)]。表示成規(guī)格化浮點(diǎn)數(shù);(2)浮點(diǎn)數(shù)(0EF43)ブ是否是規(guī)格化浮點(diǎn)數(shù)?它所表示的真值是多少?17 16 15 11 10 0數(shù)符階符階碼值尾數(shù)值圖2.35浮點(diǎn)數(shù)的表示格式.有一個(gè)字長為32位的浮點(diǎn)數(shù),格式如圖2.36所示,已知數(shù)符占1位;階碼占8位,用移碼表示;尾數(shù)值占23位,尾數(shù)用補(bǔ)碼表示。1位8位 23位數(shù)符階碼尾數(shù)值圖2.36浮點(diǎn)數(shù)的表示格式請(qǐng)寫出:(1)所能表示的最大正數(shù);⑵所能表示的最小負(fù)數(shù);(3)規(guī)格化數(shù)所能表示的數(shù)的范圍。.若浮點(diǎn)數(shù)x的IEEE754標(biāo)準(zhǔn)的32位存儲(chǔ)格式為(8FEFC000)⑹求其浮點(diǎn)數(shù)的十進(jìn)制數(shù)值。.將數(shù)(-7.28125)1〇轉(zhuǎn)換成IEEE754標(biāo)準(zhǔn)的32位浮點(diǎn)數(shù)的二進(jìn)制存儲(chǔ)格式。.已知X=?0.X|X2…Xn’求證:[x]補(bǔ)=51Mlー!十0.0〇…01。.已知區(qū)!補(bǔ)=1.X]X2X3X4X5X6,求證:図原+0.000001。.已知x和y,用變形補(bǔ)碼計(jì)算x+y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。(l)x=0.11011 y=-0.10101(2)x=-10110 y=-00011.已知x和y,用變形補(bǔ)碼計(jì)算x?y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。(l)x=0.10111 y=0.11011(2)x=11011 y=-10011.已知[x]補(bǔ)=1.1011000,[y]補(bǔ)=1.0100110,用變形補(bǔ)碼計(jì)算2[x]補(bǔ)+1/2?]補(bǔ)=?,同時(shí)指出結(jié)果是否發(fā)生溢出。.已知x和y,用原碼運(yùn)算規(guī)則計(jì)算x+y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。(l)x=0.1011,y=-0.1110(2)x=-1101,y=-1010.已知x和y,用原碼運(yùn)算規(guī)則計(jì)算x?y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。(l)x=0.1101,y=0.0001(2)x=0011,y=1110.已知x和y,用移碼運(yùn)算方法計(jì)算x+y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。(l)x=-1001,y=1101(2)x=1101,y=1011.已知x和y,用移碼運(yùn)算方法計(jì)算x?y,同時(shí)指出運(yùn)算結(jié)果是否發(fā)生溢出。(l)x=1011,y=-0010(2)x=-1101,y=-1010.余3碼編碼的十進(jìn)制加法規(guī)則如下:兩個(gè)一位十進(jìn)制數(shù)的余3碼相加,如結(jié)果無進(jìn)位,則從和數(shù)中減去3(加上1101);如結(jié)果有進(jìn)位,則和數(shù)中加上3(加上0011),即得和數(shù)的余3碼。試設(shè)計(jì)余3碼編碼的十進(jìn)制加法器單元電路。.已知x和y,分別用原碼一位乘法和補(bǔ)碼一位乘法計(jì)算xxy。(l)x=0.10111 y=-0.10011(2)x=-11011 y=-lllll.已知x和y,分別用帶求補(bǔ)器的原碼陣列乘法器、帶求補(bǔ)器的補(bǔ)碼陣列乘法器和直接補(bǔ)碼陣列乘法器計(jì)算xxyo(l)x=0.10111 y=-0.10011(2)x=-11011 y=-lllll.已知x和y,分別用原碼加減交替法和補(bǔ)碼加減交替法計(jì)算x+y。⑴x=0.10011 y=-0.11011(2)x=-1000100101y=-11101.已知x和y,用原碼陣列除法器計(jì)算x+y。(l)x=0.10011 y=-0.11011(2)x=-1000100000y=-11101.設(shè)機(jī)器字長為8位(含一位符號(hào)位),若x=46,y=-46,分別寫出x、y的原碼、補(bǔ)碼和反碼表示的機(jī)器數(shù)在左移一位、左移兩位、右移一位和右移兩位后的機(jī)器數(shù)及對(duì)應(yīng)的真值。24.某加法器進(jìn)位鏈小組信號(hào)為C4c3c2〇,最低位來的進(jìn)位信號(hào)為Co,請(qǐng)分別按下述兩種方法寫出C4c3c2G的邏輯表達(dá)式:(1)串行進(jìn)位方式;(2)并行進(jìn)位方式。.用74181和74182設(shè)計(jì)如下三種方案的64位ALU。(1)組間串行進(jìn)位方式;(2)兩級(jí)組間并行進(jìn)位方式;(3)三級(jí)組間并行進(jìn)位方式。.設(shè)浮點(diǎn)數(shù)的表示格式中階碼占3位,尾數(shù)占6位(都不包括符號(hào)位)。階碼和尾數(shù)均采用含雙符號(hào)位的補(bǔ)碼表示,運(yùn)算結(jié)果的尾數(shù)取單字長(含符號(hào)位共7位),舍入規(guī)則用“0舍1入”法,用浮點(diǎn)運(yùn)算方法計(jì)算x+y、x-y。(l)x=2'ollx(0.100101) y=2S°x(-O.011110)(2)x=2-'olx(-O.OlOHO)y=2loox(O.010110).設(shè)浮點(diǎn)數(shù)的表示格式中階碼占3位,尾數(shù)占6位(都不包括符號(hào)位),階碼采用雙符號(hào)位的補(bǔ)碼表示,尾數(shù)用單符號(hào)位的補(bǔ)碼表示。要求用直接補(bǔ)碼陣列乘法完成尾數(shù)乘法運(yùn)算,運(yùn)算結(jié)果的尾數(shù)取單字長(含符號(hào)位共7位),舍入規(guī)則用“〇舍1入”法,用浮點(diǎn)運(yùn)算方法計(jì)算xxy。(l)x=2011x(0.110100) y=2-|00x(-0.1〇〇!〇〇)(2)x=2-°"x(-O.lOOlll)y=210,x(-0.101011).設(shè)浮點(diǎn)數(shù)的表示格式中階碼占3位,尾數(shù)占6位(都不包括符號(hào)位),階碼采用雙符號(hào)位的補(bǔ)碼表示,尾數(shù)用單符號(hào)位的原碼表示。要求用原碼陣列除法完成尾數(shù)除法運(yùn)算,運(yùn)算結(jié)果的尾數(shù)取單字長(含符號(hào)位共7位),舍入規(guī)則用“〇舍1入”法,用浮點(diǎn)運(yùn)算方法計(jì)算x+y。(l)x=2'olox(O.OHOlO) y=2'inx(-0.111001)(2)x=2011x(-O.IOHIO) y=2101x(-O.lHOll).定點(diǎn)補(bǔ)碼加減法運(yùn)算中,產(chǎn)生溢出的條件是什么?溢出判斷的方法有哪幾種?如果是浮點(diǎn)加減運(yùn)算,產(chǎn)生溢出的條件又是什么?.設(shè)有4個(gè)數(shù):00001111,11110000,00000000,11111111.請(qǐng)問答:(1)其碼距為多少?最多能糾正或發(fā)現(xiàn)多少位錯(cuò)?如果出現(xiàn)數(shù)據(jù)00011111,應(yīng)糾正成什么數(shù)?當(dāng)已經(jīng)知道出錯(cuò)位時(shí)如何糾正?(2)如果再加上2個(gè)數(shù)00110000,11001111(共6個(gè)數(shù)),其碼距是多少?能糾正或發(fā)現(xiàn)多少位錯(cuò)?.如果采用偶校驗(yàn),下述兩個(gè)數(shù)據(jù)的校驗(yàn)位的值是什么?(1)0101010 (2)0011011.設(shè)有16個(gè)信息位,如果采用海明校驗(yàn),至少需要設(shè)置多少個(gè)校驗(yàn)位?應(yīng)放在哪些位置上?.寫出F列4位信息碼的CRC編碼,生成多項(xiàng)式為G(x)=x3+x2+1。(1)1000(2)1111(3)0001(4)0000.當(dāng)從磁盤中讀取數(shù)據(jù)時(shí),已知生成多項(xiàng)式G(x)=x3+x2+1,數(shù)據(jù)的CRC碼為1110110(試通過計(jì)算判斷讀出的數(shù)據(jù)是否正確?.有一個(gè)7位代碼的全部碼字為:a:0000000b:0001011c:0010110d:0011101e:0100111f:0101100g:0110001h:0111010i:1000101j:1001110k:10100111:1011000m:1100010n:1101001〇:1110100p:1111111(1)求這個(gè)代碼的碼距;(2)這個(gè)代碼是不是CRC碼。習(xí)題2.數(shù)的各種機(jī)器碼表示見附表2.1。附表2.1數(shù)的各種機(jī)器碼表示序號(hào)真值原碼皮碼補(bǔ)碼移碼(1)-o.monoi.mono1.00010011.0001010—C2)0.00110110.00110110.00110110.0011011—(3)-0.1111111i.limn1.00000001.0000001—(4)-1.0000000一一1.0000000—⑸-000000110000001111111101111111101111111(6)-111111111111111100000001000000100000001CF)010001100100011001000110010001110100011(8)-10000000——1000000000000000.應(yīng)滿足的條件是:①X()=0:②當(dāng)Xo=l時(shí),X1=1且X2、X3、X4不全為〇。.3;2-31;-2叫-1;23|-1;1;-1;-(231-1)4.(l-2-23)x2127;2-1S,;-2-is,;Y1-2勺x21275.(l)(25C03)16(2)是規(guī)格化浮點(diǎn)數(shù);它所表示的真值是1859x2186,(1)(1-2-23)x2127(2)-2127(3)規(guī)格化數(shù)所能表示的正數(shù)的范圍:2セ9?(1-2ぶ))<2127;所能表示的負(fù)數(shù)的范圍:--(2'1+2_23)><2128(-959x2や小.(C0E90000)16.證明:因?yàn)閤VO,按照定義,有[x]*=2+x=2-0.X]X2…Xn=l+(l-0.XiX2...Xn)=1+(0.11…11-O.X1X2…Xn+0.0〇…01)=14?tal+0.00…01+0.00...01.證明:因?yàn)閇x]補(bǔ)=1ス的メ3屮5乂6,即X〈0,按照定義,有[x]補(bǔ)=2+乂=1的X2X3X4X5X6X=1.X1X2X3X4X5X6-2=-l+O.X|X2X3X4X5X6=-(l-0.XiX2X3X4X5X6)=_(Q>1M53A)-0,000001)因?yàn)閤<0,按照定義,有[x]wi=l-x=1+( +0,000001)アド+0.000001.(1)[x+y]tt=00.00110,x+y=0.00110,運(yùn)算結(jié)果未發(fā)生溢出(2)[x+y]?b=l100111,x+y=-11001,運(yùn)算結(jié)果未發(fā)生溢出.(l)[x-y]?=ll.11100,x-y=-0.00100,運(yùn)算結(jié)果未發(fā)生溢出(2)[x-y]?i.=0101110,運(yùn)算結(jié)果發(fā)生正溢.2[x],h+l/2[y]4=l1.0000011,運(yùn)算結(jié)果未發(fā)生溢出.(l)[x+yレ=1.0011,x+y=-0.0011i運(yùn)算結(jié)果未發(fā)生溢出(2)因?yàn)橥瓿蓔x|+|y|操作且操作結(jié)果的符號(hào)位為1,被加數(shù)為負(fù)數(shù),所以運(yùn)算結(jié)果發(fā)生負(fù)溢。.(l)[x-y]g,=0.1100,x-y=0.1100,運(yùn)算結(jié)果未發(fā)生溢出(2)[x-y]e=11011,x-y=-1011,運(yùn)算結(jié)果未發(fā)生溢出.(l)[x+y]收=010100,x+y=0100,運(yùn)算結(jié)果未發(fā)生溢出(2)[x+yレ=101000,運(yùn)算結(jié)果發(fā)生正溢.(l)[x-y]K=011101,x-y=1101,運(yùn)算結(jié)果未發(fā)生溢出(2)[x-y]?=001101,x-y=-0011,運(yùn)算結(jié)果未發(fā)生溢出.余3碼編碼的十進(jìn)制加法器單元電路如附圖2.1所示。附圖2.1余3碼編碼的十進(jìn)制加法器單元電路.(lXD[xxy]H=1.0110110101,xxy=-0.0110110101@[xXy]H=],1001001011,Xxy=-0,011011010](2)①[xxy>=01101000101,xxy=+H01000101②[xxyトト=01101000101,Xxy=+1101000101.(D①帶求補(bǔ)器的原碼陣列乘法器[xxy]K=1.0110110101,xxy=-0,0110110101②帶求補(bǔ)器的補(bǔ)碼陣列乘法器|xxy]H=1.10010010H,xxy=-0,0110110101③直接補(bǔ)碼陣列乘法器[xxy]^=l.1001001011,Xxy=-0.0110110101(2)①帶求補(bǔ)器的原碼陣列乘法器[xxy]te=0110]00010],Xxy=+1101000101②帶求補(bǔ)器的補(bǔ)碼陣列乘法器[xxy]n=01101000101,xxy=+l101000101③直接補(bǔ)碼陣列乘法器[xxy]"=01101000101,xxy=+l101000101.(1)①原碼加減交替法[x-y]s=1.10110,[余數(shù)ル=0.0000001110x+尸ー〇.10110,余數(shù)=0.0000001110②補(bǔ)碼加減交替法[x-y]?=l.01001,[余數(shù)トト=1.1111110011x-y=-0.10111,余數(shù)=-0.0000001101(2)①原碼加減交替法[x+y1=010010,[余數(shù)]腐=111011x+尸+10010,余數(shù)=-11011②補(bǔ)碼加減交替法x-y=+10011.余數(shù)=+00010.(1)[x+y加=1.10110,[余數(shù)ル=0.0000110011x-y=-0.10110.余數(shù)=0.0000110011(2)[x-y]te=010010,[余數(shù)]原=111001x-y=+10010t余數(shù)=-11001.(l)x=46=(101110)2x的三種機(jī)器碼表示及移位結(jié)果如附表2.2所示。附表2.2對(duì)x=46算術(shù)移位后的結(jié)果移位操作機(jī)器數(shù)對(duì)應(yīng)的真值移位前00101110+46左移一位01011100+92左移兩位00111000+56右移一位00010111+23右移兩位00001011+11移位:前00101116―+46左移一位ネト01011100+92左移兩位TI碼10111000-72右移一位00010111+23右移兩位00001011+11(2)y=-46=(-101110),y的三種機(jī)器碼表示及移位結(jié)果如附表2.3所示。附表2.3對(duì)y=-46算術(shù)移位后的結(jié)果移位操作機(jī)器數(shù)對(duì)應(yīng)的真值移位前10101110-46左著一位瘴 11011100-92左移兩位[ 10111000-56右移一位10010111二23右移兩位10001011-11移位前11010010-46左移一位補(bǔ) 10100100-92左移兩位[ 01001000+72右移一位11101001-23右移兩位11110100-12移位前11010001-46左移一位后 !0100011-92左移兩位11000111-56右移一位11101000 -23 右移兩位11110100:11.(1)串行進(jìn)位方式C]=Go+P()CoC2=G|+P]GC3=Gz+P2c2C4=Gj+P3c3(2)并行進(jìn)位方式C|=Go+P()CoC2=Gj+GqPj+PoPjCoC3W2+G1P2+G0P1P2+P0P1P2coC4=G3+G2P3+G1P2P3+G0PF2P3+P0PF2P3co.(1)組間串行進(jìn)位方式的ALU如附圖2.2所示。CoCo附圖2.2組間串行進(jìn)位方式的ALU(2)兩級(jí)組間并行進(jìn)位方式的ALU如附圖2.3所示。Ci&Fl同1

CU(74182)P3 2CsiePiGiC.zPoGo74181GCi&Fl同1

CU(74182)P3 2CsiePiGiC.zPoGo74181GPP741817418174181附圖2.3兩級(jí)組間并行進(jìn)位方式的ALU(3)三級(jí)組間并行進(jìn)位方式的ALU如附圖2.4所示。

PI同CLA(74182】CIA(74102)PsGxQnP2G2jP*GiCtPoGoCLk(74102)PxGxCmmP2GPlGlCaHPoGo741817418174181pGCa<-*74181ppFCaT4181C>741817418174181PI同CLA(74182】CIA(74102)PsGxQnP2G2jP*GiCtPoGoCLk(74102)PxGxCmmP2GPlGlCaHPoGo741817418174181pGCa<-*74181ppFCaT4181C>741817418174181附圖2.4三級(jí)組間并行進(jìn)位方式的ALU.(l)[x+y]i7=11100,11.010010,[x-y]浮=11110,00.110001,和、差均無溢出x+y=2“°°x(-0.101110),x-y=2-°l0x(0.110001)(2)[x+y];?=11010,00.101100,[x-y]i?=11100,11.011111,和、差均無溢出x+y=2"°x(0.101100),x-y=2-loox(-O.!〇〇〇〇1).(l)[xxy]浮=11110,1.000110,乘積無溢出Xxy=2j°iox(-O.l11010)(2)[xxy]if=00001,0.110100,乘積無溢出xxy=2001x(0.110100).(l)[x-y];?=00100,1.111010,商無溢出x-y=2loox(.o.inow)(2)[x-y];?=11110,0.110001,商無溢出x-y=2'olox(0.110001).定點(diǎn)補(bǔ)碼加減運(yùn)算中,產(chǎn)生溢出的條件是:定點(diǎn)補(bǔ)碼加減運(yùn)算結(jié)果超出了定點(diǎn)數(shù)的表示范圍。溢出判斷的方法有三種:①采用單符號(hào)位法;②采用進(jìn)位判斷法;③采用雙符號(hào)位法,這種方法又稱為‘‘變形補(bǔ)碼’’或“模4補(bǔ)碼”。

浮點(diǎn)加減運(yùn)算中,產(chǎn)生溢出的條件是:浮點(diǎn)加減運(yùn)算結(jié)果中階碼超出了它的表示范圍。.(1)碼距為4;最多能糾正1位錯(cuò)或發(fā)現(xiàn)2位錯(cuò):出現(xiàn)數(shù)據(jù)00011111,應(yīng)糾正成00001111i當(dāng)已經(jīng)知道出錯(cuò)位時(shí),將該位數(shù)值取反即可糾正錯(cuò)誤。(2)碼距為2;能發(fā)現(xiàn)1位錯(cuò),不能糾錯(cuò)。.(1)1; (2)0.至少需要設(shè)置6個(gè)校驗(yàn)位;設(shè)16個(gè)信息位為Di6?D1,6個(gè)校驗(yàn)位為P6?P”22位的海明碼為H22?曰,則校驗(yàn)位的位置安排如下:H22H21H20Hl9H18Hl7H16Hl5H14Hl3HgHuHioH9H8H7H6H5H4H3H2HlP6D16D15D14D13D12P;5D]IDloDgDgDjDgDsP4D4D3D2P3D1P2P1即6個(gè)校驗(yàn)位P6?P1對(duì)應(yīng)的海明碼位號(hào)分別為H22、H16、%、m、H2,Hl。33.(1)1000110(2)1111111(3)0001101(4)0000000.讀出的數(shù)據(jù)錯(cuò)誤。.(1)代碼的碼距為3;(2)這個(gè)代碼是CRC碼。習(xí)題3.Cache一主存存儲(chǔ)系統(tǒng)和主存一輔存存儲(chǔ)系統(tǒng)有何不同?.SRAM和DRAM的主要差別是什么?.假設(shè)某存儲(chǔ)器具有32位地址線和32位數(shù)據(jù)線,請(qǐng)問:(1)該存儲(chǔ)器能存儲(chǔ)多少個(gè)字節(jié)的信息?(2)如果存儲(chǔ)器由1M/8位SRAM芯片組成,需要多少片?.某32位計(jì)算機(jī)系統(tǒng)采用半導(dǎo)體存儲(chǔ)器,其地址碼是32位,若使用4Mx8位的

DRAM芯片組成64MB主存,并采用內(nèi)存條的形式,問:(1)若每個(gè)內(nèi)存條為4Mx32位,共需要多少內(nèi)存條?(2)每個(gè)內(nèi)存條內(nèi)共有多少片DRAM芯片?(3)主存需要多少DRAM芯片?.ー個(gè)512Kxi6的存儲(chǔ)器,由64Kxi的2164DRAM芯片構(gòu)成(芯片內(nèi)是4個(gè)128/128結(jié)構(gòu)),問:(1)共需要多少個(gè)DRAM芯片?(2)若采用分散式刷新方式,單元刷新間隔不超過2ms,則刷新信號(hào)的周期是多少?(3)若采用集中式刷新方式,讀寫周期為0.1ピ,存儲(chǔ)器刷新一遍最少用多少時(shí)間?.某主存系統(tǒng)中,其地址空間0000H?1FFFH為ROM區(qū)域,ROM芯片為8Kx8位,從地址6000H開始,用8Kx4位的SRAM芯片組成一個(gè)16Kx8位的RAM區(qū)域,假設(shè)RAM芯片有和信號(hào)控制端。CPU地址總線為A15?A0,數(shù)據(jù)總線為D7?D0,讀/寫控制信號(hào)為R7ヌ,訪存允許信號(hào)為?0,要求:(1)寫出地址譯碼方案;(2)畫出主存與CPU的連接圖。.設(shè)主存儲(chǔ)器容量為64M字,字長為64位,模塊數(shù)m=8,分別用順序方式和交叉方式進(jìn)行組織。主存儲(chǔ)器的存儲(chǔ)周期T=100ns,數(shù)據(jù)總線寬度為64位,總線傳送周期x=50nso若按地址順序連續(xù)讀取16個(gè)字,問順序存儲(chǔ)器和交叉存儲(chǔ)器的帶寬各是多少?.設(shè)某計(jì)算機(jī)訪問一次主存儲(chǔ)器的時(shí)間如下:傳送地址需1個(gè)時(shí)鐘周期,讀/寫需4個(gè)時(shí)鐘周期,數(shù)據(jù)傳送1個(gè)時(shí)鐘周期,采用下述主存結(jié)構(gòu)按地址順序連續(xù)讀取16個(gè)字的數(shù)據(jù)塊,各需多少時(shí)鐘周期?(1)單字寬主存,一次只能讀/寫1個(gè)字。4模塊交叉存儲(chǔ)器,每個(gè)存儲(chǔ)器模塊為單字寬。CPU執(zhí)行一段程序時(shí),Cache完成存取的次數(shù)為2400次,主存完成存取的次數(shù)為100次,已知Cache的存儲(chǔ)周期為50ns,主存的存儲(chǔ)周期為250ns,求Cache-主存系統(tǒng)的平均訪問時(shí)間和效率。一臺(tái)計(jì)算機(jī)的主存容量為1M字,Cache容量為8K字,每塊的大小為128個(gè)字,請(qǐng)?jiān)O(shè)計(jì)在下列條件下的主存地址格式和Cache地址格式:

(1)主存和Cache之間采用直接映像。(2)主存和Cache之間采用組相聯(lián)映像,假設(shè)每組為4塊。在以下有關(guān)虛擬存儲(chǔ)器的描述中,哪些是不正確的?(1)所有的頁表都存放在主存中。(2)頁表大時(shí),可將頁表放在輔存屮,而將當(dāng)前用到的頁表調(diào)到主存屮。(3)頁表中的快表(TLB)采用全相聯(lián)查找。(4)頁表中的快表存放在主存中。(5)采用快表的依據(jù)是程序訪問的局部性。一個(gè)虛擬存儲(chǔ)器有8個(gè)頁面,頁面大小為1024字,主存有4個(gè)頁面,內(nèi)頁表內(nèi)容如表3.5所示。表3.5內(nèi)頁表慮頁號(hào)實(shí)頁號(hào)03112-3-425-607-那么,虛擬地址4098對(duì)應(yīng)的主存地址是什么?13,某程序?qū)撁嬉笤L問的序列為P3P4P2P6P4P3P7P4P3P6P3P4P8P4P6。(1)設(shè)主存容量為3個(gè)頁面時(shí),求FIFO和LRU替換算法的命中率(假設(shè)開始時(shí)主存為空)。(2)當(dāng)主存容量為4個(gè)頁面時(shí),上述兩種替換算法各自的命中率又是多少?習(xí)題3略DRAM需要刷新,SRAM則不需要。(1)16GB;(2)214片。(1)4條;(2)4片;(3)16片。(1)128片;(2)刷新信號(hào)的周期應(yīng)小于或等于15.6ピ;(3)存儲(chǔ)器刷新一遍最少要用12.8ド。(1)地址譯碼方案如下:將地址的高3位A15、A14、A13經(jīng)3;8譯碼器74LS138譯碼后實(shí)現(xiàn)片選,具體連接如下:將¥?作為8Kx8位ROM的E;將エ、エ分別作為2組8K“8位RAM的面;將3:8譯碼器74LS138的一個(gè)使能端モ與CPU發(fā)出的訪存允許信り1.相連,其它兩個(gè)使能端Gh、%置均為無效信號(hào)。(2)主存與CPU的連接圖如附圖3.1所示。附圖3.1主存與CPU的連接圖(1)640Mb/s;(2)1205Mb/s。(1)96個(gè)時(shí)鐘周期;(2)2フ個(gè)時(shí)鐘周期。h=0.96;ta=58ns;e-0.862o(1)主存地址格式為:TOC\o"1-5"\h\z7位6位 7位I區(qū)號(hào) I一號(hào)I 塊內(nèi)地址 |Cache地址格式為:6隹 7隹I塊號(hào)1塊內(nèi)地址 ](2)主存地址格式為:7位 4位 2位 7位「區(qū)號(hào)]'組號(hào)]塊號(hào)「 塊內(nèi)地址 ]Cache地址格式為:4位2位 7位「組號(hào)I塊號(hào)丁 塊內(nèi)地址 |(1)和(4)?12.2050(1)頁面調(diào)度過程略。當(dāng)采用F圧〇替換算法時(shí),命中率為20%;當(dāng)采用LRU替換算法時(shí),命中率為40%。(2)頁面調(diào)度過程略。當(dāng)采用F圧〇替換算法時(shí),命中率為40%;當(dāng)采用LRU替換算法時(shí),命中率/r/

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論