飛思單片機原理_第1頁
飛思單片機原理_第2頁
飛思單片機原理_第3頁
飛思單片機原理_第4頁
飛思單片機原理_第5頁
已閱讀5頁,還剩34頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

幾個推智能車制第六屆智能車競飛 什么是單片什么是單片機單片機是一種集成在電路 是采超大模集成電路技術(shù)把具有數(shù)處理力的 處器、隨機 器RA、只讀 器、多I/口和中斷系統(tǒng)、定時/數(shù)器功能可能還包括顯示驅(qū)動電路、寬調(diào)電路模擬路轉(zhuǎn)換器/轉(zhuǎn)換器等電路集成一塊片上構(gòu)成的一個小而完善的算機統(tǒng)(源:百科單片機在各領(lǐng)域中的應(yīng)智能儀器儀表、工業(yè)控家用電計算機網(wǎng)絡(luò)和通醫(yī)用設(shè)汽車設(shè)如何構(gòu)建智能車的單片機系單片機控制系統(tǒng)中的兩個通能量通信號通輸出、舵機控制輸出等等。單片機在智能車中的應(yīng)如何構(gòu)建一個智能車的單片機控制系智能車單片機系統(tǒng)基本()設(shè)計中使用到的單片機通用輸入輸出模中斷系定時輸入捕捉模A/D轉(zhuǎn)換模發(fā)生模SCI/SPI串行總Flash容量RAM容量CAN總線:1SCI總線:2SPI總線:1A/D轉(zhuǎn)換:8通道,8/10定時器:8通道,16I/O數(shù)量:91/59/44個(112/80/64引腳通用輸入輸出模I/OPortABK為通?PortE與IRQXIRQPortT與1個定時器模塊復(fù)?PortS與2個SCI模塊和1個SPIPortM與1個MSCAN模塊復(fù)?PortP連接 模塊另外可以作為外部中斷?PortH和J是通用I/OPortADAD轉(zhuǎn)換模塊復(fù)通用I/O口的應(yīng)

PORT引數(shù)據(jù)總線

方向寄存器 通用I/O按鍵實

Void{intiKey=0;}中斷系什么是中斷續(xù)執(zhí)行原程序。中斷是單片機實時地處理或外部事件的一種機制。當某種或外處,繼續(xù)執(zhí)行下去。(來源:百科)中斷系為什么要用中斷中斷向量外部中斷控制寄IRQEN:IRQ中斷使能1:允中斷程序示{IRQCR=0XC0;//}#pragmaCODE_SEGNON_BANKEDvoidinterrupt6ISR(void){}#pragmaCODE_SEGvoid{}中斷系統(tǒng)在智能車系統(tǒng)中的應(yīng)定時中外部中輸入捕捉中用 頭現(xiàn)場同步信 A/D轉(zhuǎn)換終止中定時MC9S12XS128中有增強型定時器模塊EnhancedCaptureTimer1個16位自由運行計8個16位輸入捕捉/輸出比較1個16位脈沖累加定時定時器在智能車系統(tǒng)中的應(yīng)速度檢道路信息定時采系統(tǒng)輸出定時控定時器例voidinterrupt15C4I_ISR(void定時中斷控制程{unsignedintlongint 1=0X80;//清中斷標{}Last_A_Dir=A_Dir;//上次采樣平均}A/D轉(zhuǎn)換模MC912128內(nèi)置1個1612位的逐次近模數(shù)轉(zhuǎn)換器可選8/10/12位轉(zhuǎn)換精轉(zhuǎn)換時間可由外部觸發(fā)控可選擇單次或連續(xù)轉(zhuǎn)A/D轉(zhuǎn)換實#include /*commondefinesandmacros#include /*derivativeinformationvoid{ATD0CTL2=0xC0;//AD模塊上電快速清零無等待模 外部觸發(fā)ATD0CTL3=0x20;每個序列4次轉(zhuǎn)換NoFIFO, ATD0CTL4=0x83;//8位精度,2個時鐘,ATDClock=[BusClock*0.5]/[PRS+1];PRS=3divider=8ATD0CTL5=0xA0;//右對齊無符號,單通道采樣,通道 }A/D轉(zhuǎn)換實voidmain(void) //ADDDRB=PORTB=for(;;) //PORTB //在B口顯示轉(zhuǎn)換}/*waitforever} 波模塊結(jié)構(gòu)和實 { //通道0輸出波形開始極性為1waveformwhichhighfirstthenlowwhenthedutycounteris //左對齊輸出模 outputleftalign 時鐘源 SAClockSAistheclock channelPRCLK=0X03;//時鐘A8分 ClockAis //時鐘SA為 ClockSA //設(shè)定輸出周期=通道時鐘周期 Dutyis50%,andwaveform'sfrequentis16 //通道0使 l}SCI/SPI串行通信模ialiphealinteface串行 設(shè)接口是一個同步串行通信系統(tǒng),即發(fā)送、接收雙方共 個時鐘信號,以確保數(shù)據(jù)傳輸是同步的。I具1個時鐘信號、2個數(shù)據(jù)信號和個主從選擇信號能用于兩個器件之間的點對點通信或通過I總線的多點通信。SPI通信原(1)MOSI(2)MISO(3)SCK(4)SSSCI通信原串行通 口I(alcoonintrace)是一種異步串通統(tǒng)計算機最常用的通 口之一。2的是全雙工異步串行通 口,通常用于微控制器與其他計算機,調(diào)制解調(diào)器等設(shè)備之間的通信。SCI模塊結(jié)SPI/SCI在智能車系統(tǒng)中的應(yīng)與一些外 通信,如4-16位 雙單片機系統(tǒng)單片機之間通與上位機通C語言編程技巧及注意事模塊劃 static關(guān)鍵 不要在.h文件中定義變量,定義變量和變匯編階段的概念;而則只是告訴包含該聲C語言編程技巧

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論