西工大FPGA實(shí)驗(yàn)大作業(yè)_第1頁(yè)
西工大FPGA實(shí)驗(yàn)大作業(yè)_第2頁(yè)
西工大FPGA實(shí)驗(yàn)大作業(yè)_第3頁(yè)
西工大FPGA實(shí)驗(yàn)大作業(yè)_第4頁(yè)
西工大FPGA實(shí)驗(yàn)大作業(yè)_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

西工大FPGA實(shí)驗(yàn)大作業(yè)西工大FPGA實(shí)驗(yàn)大作業(yè)西工大FPGA實(shí)驗(yàn)大作業(yè)西工大FPGA實(shí)驗(yàn)大作業(yè)編制僅供參考審核批準(zhǔn)生效日期地址:電話(huà):傳真:郵編:西北工業(yè)大學(xué)《硬件描述語(yǔ)言與FPGA》實(shí)驗(yàn)報(bào)告學(xué)院:學(xué)號(hào):姓名:專(zhuān)業(yè):實(shí)驗(yàn)時(shí)間:實(shí)驗(yàn)地點(diǎn):指導(dǎo)教師:西北工業(yè)大學(xué)20年月基于Verilog交通燈的設(shè)計(jì)與實(shí)現(xiàn)一、實(shí)驗(yàn)?zāi)康募耙髮?shí)驗(yàn)?zāi)康模和ㄟ^(guò)交通燈的設(shè)計(jì)與仿真綜合,體會(huì)復(fù)雜時(shí)序的實(shí)現(xiàn)方法,學(xué)會(huì)用框圖表示程序的設(shè)計(jì)思想,掌握中小規(guī)模集成電路的系統(tǒng)綜合設(shè)計(jì)方法。實(shí)驗(yàn)要求:設(shè)計(jì)一個(gè)交通燈信號(hào)控制電路。具體要求為:輸入為50MHz的時(shí)鐘和復(fù)位信號(hào),輸出為紅、綠、黃三個(gè)信號(hào)(高電平為亮)。復(fù)位信號(hào)(高電平)有效,紅、綠、黃燈滅;接著進(jìn)行如下循環(huán):綠燈亮1分鐘,黃燈閃爍10秒,紅燈亮1分鐘。在此基礎(chǔ)上再加兩個(gè)數(shù)碼管,對(duì)倒計(jì)時(shí)的數(shù)顯示。二、實(shí)驗(yàn)設(shè)備(環(huán)境)及要求ModelSimSE和SynplifyPro三、實(shí)驗(yàn)內(nèi)容與步驟1.設(shè)計(jì)思路概述:考慮一個(gè)紅綠黃交通燈及倒計(jì)時(shí)顯示器設(shè)計(jì)首先復(fù)位電平置1,所有的交通燈電平為低,即滅。首先綠燈亮60s,然后黃燈閃爍10s;紅燈再接著亮60s;而后綠燈亮60s,黃燈閃爍10s,紅燈亮60s……以此循環(huán),直至復(fù)位電平置1,所有交通燈滅。2.模塊結(jié)構(gòu)設(shè)計(jì)及流程圖頂層模塊(modulelights):模塊例化,對(duì)控制模塊和譯碼模塊進(jìn)行例化控制模塊:交通燈顏色和倒計(jì)時(shí)eq\o\ac(○,1)時(shí)鐘分頻(frequencies):將50MHZ的頻率分成我們所需要的1HZ;eq\o\ac(○,2)顏色變化:狀態(tài)循環(huán)及倒計(jì)時(shí),狀態(tài)用light_status,如果狀態(tài)light_status為0,綠燈亮,時(shí)間(60s)給統(tǒng)計(jì)燈亮?xí)r間的變量light_long,并且把顯示燈亮的變量light_select賦值100(高電平表示亮),最后把狀態(tài)標(biāo)志位light_status賦1,控制倒計(jì)時(shí)。交通燈亮滅情況:light_out交通燈亮滅情況001紅燈亮010黃燈亮100綠燈亮eq\o\ac(○,3)倒計(jì)時(shí):燈亮或閃爍時(shí)間(綠、黃、紅分別為60s、10s、60s)用BCD碼表示(分別為60h、10h、60h),倒計(jì)時(shí)的時(shí)候個(gè)位和十位分別是高四位和低四位,首先是低四位倒數(shù),當(dāng)?shù)箶?shù)到0時(shí),重新賦值為9,且高四位減1,如此循環(huán),直到這個(gè)數(shù)減到0,燈亮的時(shí)間到,接著進(jìn)行下一個(gè)狀態(tài),在時(shí)間減到0的時(shí)候,給使能端enable賦值0;高四位和低四位分別顯示十位和個(gè)位。譯碼模塊:黃燈閃爍及倒計(jì)時(shí)譯碼輸出。eq\o\ac(○,1)黃燈閃爍:黃燈在1s內(nèi)亮滅,中間位當(dāng)分頻時(shí)鐘y_flicker為高且輸入light_select為高時(shí),輸出light_out才為高(黃燈亮),別的情況light_out中間位都為低(黃燈滅)。eq\o\ac(○,2)七段譯碼:3—8譯碼器,把倒計(jì)時(shí)譯碼輸出顯示模塊框圖:3.時(shí)序說(shuō)明在0時(shí)刻,加上系統(tǒng)時(shí)鐘,首先進(jìn)行reset置1,計(jì)數(shù)器清零,所有交通燈為滅的狀態(tài),二進(jìn)制代碼為00,七段譯碼無(wú)顯示。在reset為0時(shí),系統(tǒng)開(kāi)始正常工作。計(jì)數(shù)器開(kāi)始工作,縱向路口的路燈亮,橫向路口的紅燈亮,二進(jìn)制代碼為01,同時(shí)兩個(gè)倒計(jì)時(shí)顯示器工作,從59到0的倒計(jì)時(shí)。經(jīng)過(guò)60s(也就是經(jīng)過(guò)60*50M個(gè)系統(tǒng)時(shí)鐘上升沿之后)縱向路口,橫向路口的黃燈開(kāi)始閃爍(1秒內(nèi)只有半秒,黃燈是亮的,從9秒到秒之間黃燈是亮的,然后到8是滅的,如此繼續(xù)下去,知道10秒結(jié)束)又經(jīng)過(guò)10s(70*50M個(gè)系統(tǒng)時(shí)鐘上升沿),狀態(tài)轉(zhuǎn)移到紅燈,然后顯示及七段譯碼過(guò)程與綠燈相似。至此一個(gè)周期已經(jīng)完成,接下來(lái)就是循環(huán)執(zhí)行以上步驟的過(guò)程,直到有reset清零端打斷其正常工作。4.計(jì)框圖及相關(guān)時(shí)序四.實(shí)驗(yàn)代碼eset(reset),.doutge(dataoutge),.doutshi(dataoutshi),.light_select(light_selccted));ysclk_50MHz(sysclk_50M,.reset(reset),.inge(dataoutge),.inshi(dataoutshi).light_sel(light_selccted),.light_out(light_out),.led_datage(led_ctrlge),.led_datashi(led_ctrlshi));lk50MHz(clk50MHz),.reset(reset),.light_out(light_out),.led_ctrlge(led_ctrlge),.led_ctrlshi(led_ctrlshi));endmodule五.實(shí)驗(yàn)結(jié)果仿真圖綠燈紅燈黃燈綜合結(jié)果總模塊控制模塊譯碼模塊六.結(jié)論通過(guò)交通信號(hào)控制器功能仿真與測(cè)試,本設(shè)計(jì)達(dá)到了預(yù)定設(shè)計(jì)的目的,

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論