數(shù)字信號(hào)處理課程設(shè)計(jì)-基于DSP 的 FIR 數(shù)字濾波器的設(shè)計(jì)_第1頁
數(shù)字信號(hào)處理課程設(shè)計(jì)-基于DSP 的 FIR 數(shù)字濾波器的設(shè)計(jì)_第2頁
數(shù)字信號(hào)處理課程設(shè)計(jì)-基于DSP 的 FIR 數(shù)字濾波器的設(shè)計(jì)_第3頁
數(shù)字信號(hào)處理課程設(shè)計(jì)-基于DSP 的 FIR 數(shù)字濾波器的設(shè)計(jì)_第4頁
數(shù)字信號(hào)處理課程設(shè)計(jì)-基于DSP 的 FIR 數(shù)字濾波器的設(shè)計(jì)_第5頁
已閱讀5頁,還剩21頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

數(shù)字信號(hào)處理課程設(shè)計(jì)報(bào)告設(shè)計(jì)題目:基于DSP的FIR數(shù)字濾波器的設(shè)計(jì)專業(yè)班級(jí)學(xué)號(hào)學(xué)生姓名指導(dǎo)教師教師評(píng)分目錄TOC\o"1-3"\h\u22271一、摘要 128278二、概述 222021三、系統(tǒng)設(shè)計(jì) 3197553.1DSP系統(tǒng)原理框圖 3307413.2DSP系統(tǒng)各局部分析 414534四、硬件設(shè)計(jì) 565494.1硬件整體電路及框圖 563914.2硬件各局部組成簡介 622032五、軟件設(shè)計(jì) 10191935.1FIR數(shù)字濾波器的根本網(wǎng)絡(luò)結(jié)構(gòu) 1035395.2FIR數(shù)字濾波器的設(shè)計(jì) 10117665.2.1FIR濾波器的主要特點(diǎn) 10274305.2.2FIR濾波器設(shè)計(jì)方法 1050505.2.3窗函數(shù)法設(shè)計(jì)的根本思想 11163305.2.4用窗函數(shù)設(shè)計(jì)FIR濾波器的步驟 1212855.3FIR數(shù)字濾波器的MATLAB的實(shí)現(xiàn) 13123705.3.1Matlab軟件介紹 13290845.3.2用Matlab實(shí)現(xiàn)FIR數(shù)字濾波器的幾種方法 1319455.4FFT參數(shù)的計(jì)算 1735245.5DSP程序流程圖 1725034六、實(shí)驗(yàn)結(jié)果 1913651七、個(gè)人總結(jié) 21600八、參考文獻(xiàn) 2224858附件: 23數(shù)字信號(hào)處理課程設(shè)計(jì)摘要數(shù)字濾波器是數(shù)字信號(hào)處理中最重要的組成局部之一,數(shù)字濾波器是由數(shù)字乘法器、加法器和延時(shí)單元組成的一種算法或裝置,可作為應(yīng)用系統(tǒng)對(duì)信號(hào)的前期處理。DSP芯片實(shí)現(xiàn)的數(shù)字濾波器具有穩(wěn)定性好、精確度高、靈活性強(qiáng)及不受外界影響等特性。因此基于DSP實(shí)現(xiàn)的數(shù)字濾波器廣泛應(yīng)用于語音圖像處理、數(shù)字通信、頻譜分析、模式識(shí)別、自動(dòng)控制等領(lǐng)域,具有廣闊的開展空間。本文首先介紹了數(shù)字濾波器的概念及分類,以及數(shù)字濾波器的實(shí)現(xiàn)方法。在理解FIR濾波器的工作原理及其設(shè)計(jì)方法的根底上,在MATLAB環(huán)境下利用矩形窗設(shè)計(jì)實(shí)現(xiàn)FIR濾波器。然后通過DSP結(jié)合CCS2.0軟件進(jìn)行編程,最終實(shí)現(xiàn)了基于DSP的FIR數(shù)字低通濾波器的設(shè)計(jì)。仿真結(jié)果說明,基于DSP實(shí)現(xiàn)的濾波器具有穩(wěn)定性好、精確度高、靈活性強(qiáng)等優(yōu)點(diǎn),并能實(shí)現(xiàn)對(duì)信號(hào)的實(shí)時(shí)濾波。關(guān)鍵詞:FIR數(shù)字濾波器DSP窗函數(shù)法MATLABTMS320VC5402概述隨著信息時(shí)代的到來,數(shù)字信號(hào)處理已成為當(dāng)今一門極其重要的學(xué)科和技術(shù)領(lǐng)域。數(shù)字信號(hào)在通信、語音、圖像、自動(dòng)控制、雷達(dá)、航空航天、醫(yī)療和家用電器等眾多領(lǐng)域得到了廣泛的應(yīng)用。在數(shù)字信號(hào)處理中數(shù)字濾波占有極其重要的地位,與模擬濾波相比,數(shù)字濾波具有很多突出優(yōu)點(diǎn),比方精確度高、穩(wěn)定、靈活、不要求阻抗匹配、容易實(shí)現(xiàn)線性相位、還可以防止模擬濾波器無法克服的電壓漂移、溫度漂移和噪聲偏移等問題。FIRFiniteImpulseResponse濾波器是有限長單位沖激響應(yīng)濾波器,它是數(shù)字信號(hào)處理系統(tǒng)中最根本的元件,它可以在保證任意幅頻特性的同時(shí)具有嚴(yán)格的線性相頻特性,同時(shí)其單位抽樣響應(yīng)是有限長的,因而濾波器是穩(wěn)定的系統(tǒng)。數(shù)字濾波器的實(shí)現(xiàn)方法通常有三種:〔1〕用計(jì)算機(jī)軟件實(shí)現(xiàn)軟件實(shí)現(xiàn)方法就是在通用的微型計(jì)算機(jī)上用軟件來實(shí)現(xiàn)。〔2〕采用DSP〔DigitalSignalProcessing〕處理器來實(shí)現(xiàn)。〔3〕用FPGA可編程器件來實(shí)現(xiàn)。DSP處理器是專為數(shù)字信號(hào)處理而設(shè)計(jì)的,它的主要數(shù)字運(yùn)算單元是一個(gè)乘累加器MAC,能夠在一個(gè)機(jī)器周期內(nèi)完成一次成累加運(yùn)算,配有適合于信號(hào)處理的指令,具備獨(dú)特的循環(huán)尋址和倒序?qū)ぶ纺芰?。通過比擬以上三種方法可見:可以采用MATLAB軟件來學(xué)習(xí)數(shù)字濾波器的根本知識(shí),計(jì)算數(shù)字濾波器是系數(shù),研究算法的可行性,對(duì)數(shù)字濾波器進(jìn)行前期的仿真。然后利用DSP來實(shí)現(xiàn)數(shù)字濾波的設(shè)計(jì)。為了實(shí)現(xiàn)這一目標(biāo),需要編寫程序,在CCS上進(jìn)行調(diào)試仿真。三、系統(tǒng)設(shè)計(jì)3.1DSP系統(tǒng)原理框圖圖2.1典型的DSP系統(tǒng)圖2.1是一個(gè)用DSP做信號(hào)處理的典型框圖。由于DSP是用來對(duì)數(shù)字信號(hào)進(jìn)行處理的,所以首先必須將輸入的模擬信號(hào)變換為數(shù)字信號(hào)。于是先對(duì)輸入模擬信號(hào)進(jìn)行調(diào)整,輸出的模擬信號(hào)經(jīng)過A/D變換后變成DSP可以處理的數(shù)字信號(hào),DSP根據(jù)實(shí)際需要對(duì)其進(jìn)行相應(yīng)的處理,如FFT、卷積等;處理得到的結(jié)果仍然是數(shù)字信號(hào),可以直接通過相應(yīng)通信接口將它傳輸出去,或者對(duì)它進(jìn)行D/A變換將其轉(zhuǎn)換為模擬采樣值,最后再經(jīng)過內(nèi)插和平滑濾波就得到了連續(xù)的模擬波形模擬信號(hào)。一般來說DSP的設(shè)計(jì)過程應(yīng)遵循一定的設(shè)計(jì)流程,如圖2.2示圖2.2DSP系統(tǒng)流圖系統(tǒng)的簡單設(shè)計(jì)如下圖,由給出所設(shè)計(jì)的FFT的技術(shù)指標(biāo),用MATLAB求解參數(shù)并仿真。選出適宜的DSP芯片,連接好硬件電路。給出程序流程圖,編寫程序,在CCS中完成仿真。3.2DSP系統(tǒng)各局部分析通過初期在MATLAB中仿真,確定濾波器參數(shù)。在MATLAB中可以通過編程用相應(yīng)的窗函數(shù)來設(shè)計(jì)FIR濾波器。再選擇適宜DSP,通過設(shè)計(jì)DSP硬件電路來產(chǎn)生適宜的信號(hào)。這期間會(huì)用到數(shù)模轉(zhuǎn)換器,時(shí)鐘電路,復(fù)位電路等,都需要提前設(shè)計(jì)好,之后調(diào)試硬件電路。最后,編寫代碼,進(jìn)行仿真調(diào)試。四、硬件設(shè)計(jì)4.1硬件整體電路及框圖根據(jù)使用DSP芯片的相關(guān)原那么,以及芯片手冊具體決定未用端子是接上拉電阻還是懸空??梢缘贸鯠SP數(shù)字濾波器的整體硬件電路連線圖,如圖3.1所示。圖3.1硬件電路圖3.2硬件電路框圖在本系統(tǒng)設(shè)計(jì)中采用了TI的TMS320VC5402作為其核心處理單元。TMS320VC5402為低功耗定點(diǎn)數(shù)字信號(hào)處理器,其運(yùn)算速度最快可達(dá)532MIPS。它采用先進(jìn)的修正哈佛結(jié)構(gòu),片內(nèi)共有8條總線〔1條程序存儲(chǔ)器總線、3條數(shù)據(jù)存儲(chǔ)總線和4條地址總線〕。其CPU采用并行結(jié)構(gòu)設(shè)計(jì),使其能在一條指令周期內(nèi),高速地完成多項(xiàng)算術(shù)運(yùn)算。TMS320VC5402的豐富的片上外圍電路〔通用I/O引腳,定時(shí)器,時(shí)鐘發(fā)生器,HPI接口,多通道緩沖串行口Mcbsp〕使其與外部接口方便。4.2硬件各局部組成簡介信號(hào)源單元:頻率,幅值可調(diào)雙路三角波,方波和正弦波產(chǎn)生電路采用兩片8038信號(hào)發(fā)生器,輸出頻率范圍20~100kHZ,幅值范圍-10V~+10V,頻率,幅值可獨(dú)立調(diào)節(jié)。兩路輸出信號(hào)可以通過加法器進(jìn)行信號(hào)模擬處理和混疊,作為信號(hào)濾波處理的混疊信號(hào)源,混疊后的信號(hào)從信號(hào)源1輸出,信號(hào)源單元原理如圖4所示。圖3.3信號(hào)源單元原理框圖數(shù)模轉(zhuǎn)換單元:數(shù)模轉(zhuǎn)換采用DAC08芯片,分辨率8位,精度1LSB,轉(zhuǎn)換時(shí)間可達(dá)85ns。DAC08可以應(yīng)用在8-bit,1usA/D變換,波形發(fā)生,語音編碼,衰減器,在本實(shí)驗(yàn)系統(tǒng)中,DAC08采用對(duì)稱偏移二進(jìn)制輸出方式,輸出電壓范圍-5V~+5V,數(shù)模轉(zhuǎn)換的原理圖如圖3.4所示。由于模數(shù)轉(zhuǎn)換選擇了10位器件,為了簡化程序代碼,減少DSP的運(yùn)算工作量,在本數(shù)字濾波器系統(tǒng)中選擇了TI公司的TLV5608芯片,它是一款8通道10位2.7到5.5V低電壓數(shù)模轉(zhuǎn)換芯片?!?〕模數(shù)轉(zhuǎn)換單元:模數(shù)轉(zhuǎn)換芯選用AD7822,單極性輸入,采樣分辨率8BIT,并行輸出;內(nèi)含取樣保持電路,以及可選擇使用內(nèi)部參考電壓源,具有轉(zhuǎn)換后自動(dòng)Power-Down的模式,可應(yīng)用在數(shù)據(jù)采樣,DSP系統(tǒng)及移動(dòng)通信等場合。在本實(shí)驗(yàn)系統(tǒng)中,參考電壓源+2.5V,偏置電壓輸入引腳Vmid2.5V。模擬輸入信號(hào)以過運(yùn)放處理后輸入AD7822輸入電壓范圍-12V~+12V9,模數(shù)轉(zhuǎn)換的原理圖如圖3.5所示。圖3.5模數(shù)轉(zhuǎn)換單元原理圖本設(shè)計(jì)中采用了SPI接口器件實(shí)現(xiàn)數(shù)據(jù)的模數(shù)轉(zhuǎn)換和數(shù)模轉(zhuǎn)換。在本數(shù)字濾波器系統(tǒng)中選擇了TI公司的TLV1570芯片作為模數(shù)轉(zhuǎn)換器件,8通道10位2.7到5.5V低電壓模數(shù)轉(zhuǎn)換芯片。TLVl570在3V電壓下的采樣頻率為625KSPS,輸入信號(hào)最高頻率不能超過300K。從功能時(shí)序圖可以看出該器件包含8通道輸入多路復(fù)用器、高速的10位ADC、內(nèi)部的電壓參考源和高速的串行接口。其高速串行接口包含五根信號(hào)線:SCLK串行時(shí)鐘輸入、SDIN串行數(shù)據(jù)輸入、SDOUT串行數(shù)據(jù)輸出、FS幀同步信號(hào)、CS片選信號(hào)。其中每個(gè)取樣和轉(zhuǎn)換過程需要16個(gè)系統(tǒng)工作時(shí)鐘。(3)電源電源:TPS73HD318為雙路輸出低壓降LDO穩(wěn)壓器,線性穩(wěn)壓器使用在其線性區(qū)域內(nèi)運(yùn)行的晶體管或FET,從應(yīng)用的輸入電壓中減去超額的電壓,產(chǎn)生經(jīng)過調(diào)節(jié)的輸出電壓。所謂壓降電壓,是指穩(wěn)壓器將輸出電壓維持在其額定值上下100mV之內(nèi)所需的輸入電壓與輸出電壓差額的最小值。本次設(shè)計(jì)中,用于提供穩(wěn)定的DSP的電源。〔4〕JTAG接口設(shè)計(jì)JointTestActionGroup;聯(lián)合測試行動(dòng)小組主要用于芯片內(nèi)部測試。標(biāo)準(zhǔn)的JTAG接口是4線:TMS、TCK、TDI、TDO,分別為模式選擇、時(shí)鐘、數(shù)據(jù)輸入和數(shù)據(jù)輸出線。JTAG測試允許多個(gè)器件通過JTAG接口串聯(lián)在一起,形成一個(gè)JTAG鏈,能實(shí)現(xiàn)對(duì)各個(gè)器件分別測試。JTAG編程方式是在線編程,傳統(tǒng)生產(chǎn)流程中先對(duì)芯片進(jìn)行預(yù)編程然后再裝到板上,簡化的流程為先固定器件到電路板上,再用JTAG編程,從而大大加快工程進(jìn)度。JTAG接口可對(duì)DSP芯片內(nèi)部的所有部件進(jìn)行編程。如圖3.6。圖3.6JTAG管腳圖復(fù)位電路MAX706S:對(duì)于實(shí)際的DSP應(yīng)用系統(tǒng)特別是產(chǎn)品化的DSP系統(tǒng)而言,可靠性是一個(gè)不容無視的問題。由于DSP系統(tǒng)的時(shí)鐘頻率比擬高,因此在運(yùn)行時(shí)極有可能發(fā)生干擾和被干擾的現(xiàn)象。MAX706系列監(jiān)控器性能價(jià)格比極高。除了看門狗功能外,另外還具有上電自動(dòng)復(fù)位、人工復(fù)位以及低電壓報(bào)警等功能,使用起來非常方便可靠。MAX706是多功能微處理器監(jiān)控電路,其中包括MAX706、MAX706p、MAX706R、MAX706S和MAX706T等5個(gè)型號(hào),具有上電自動(dòng)復(fù)位、手動(dòng)復(fù)位、看門狗以及電壓檢測功能。可以滿足3V電源系統(tǒng)和5V電源系統(tǒng)的需要。MAX706具有8引腳DIP、SO和MAX三種封裝形式可供選用。具體連接方式如圖3.7。時(shí)鐘電路設(shè)計(jì):利用DSP芯片內(nèi)部的振蕩器構(gòu)成時(shí)鐘電路,連接方式如圖3.8所示。在芯片的X1X2/CLK引腳之間接入一個(gè)晶體,CLKMD引腳必須設(shè)置以啟動(dòng)內(nèi)部振蕩器。C54X的時(shí)鐘產(chǎn)生包括一個(gè)內(nèi)部的振蕩器和一個(gè)鎖相環(huán)〔PLL〕電路。存儲(chǔ)器設(shè)計(jì):程序存儲(chǔ)器選用AT29LV1024,它是一種3V系統(tǒng)供電的閃速可編程可電擦除的16位存儲(chǔ)器〔PEROM〕,具有1M位的存儲(chǔ)空間,1M位的存儲(chǔ)空間分成512個(gè)區(qū),每個(gè)區(qū)有128個(gè)字節(jié)。數(shù)據(jù)存儲(chǔ)器選用KSI64LV64。五、軟件設(shè)計(jì)5.1FIR數(shù)字濾波器的根本網(wǎng)絡(luò)結(jié)構(gòu)設(shè)單位脈沖響應(yīng)h〔n〕長度為N,輸入信號(hào)為x〔n〕那么FIR數(shù)字濾波器就是要實(shí)現(xiàn)以下差分方程:式〔1〕就是FIR數(shù)字濾波器的差分方程,F(xiàn)IR網(wǎng)絡(luò)結(jié)構(gòu)特點(diǎn)就是沒有反應(yīng)支路,即沒有環(huán)路,因此它是無條件的穩(wěn)定系統(tǒng),其單位脈沖響應(yīng)h〔n〕是一個(gè)有限長序列。由上面的方程可知,F(xiàn)IR濾波器實(shí)際上是一種乘法累加運(yùn)算,不斷地輸入樣本x〔n〕經(jīng)延時(shí),做乘法累加,再輸出濾波結(jié)果y〔n〕。對(duì)式〔1〕進(jìn)行Z變換,經(jīng)整理后可得FIR濾波器的傳遞函數(shù)H〔z〕為:〔2〕由式〔2〕可以看出,F(xiàn)IR數(shù)字濾波器一般網(wǎng)絡(luò)結(jié)構(gòu),如以下圖4。1所示。圖4.1FIR數(shù)字濾波器一般網(wǎng)絡(luò)結(jié)構(gòu)5.2FIR數(shù)字濾波器的設(shè)計(jì)5.2.1FIR濾波器的主要特點(diǎn)單位沖擊響應(yīng)只有有限項(xiàng);2〕FIR濾波器無反應(yīng)回路,是一種無條件穩(wěn)定系統(tǒng);3〕FIR濾波器可以設(shè)計(jì)成具有線性相位特性。5.2.2FIR濾波器設(shè)計(jì)方法FIR濾波器的設(shè)計(jì)任務(wù)就是給定要求的頻率特性,按一定的最正確逼近準(zhǔn)那么,選取濾波器轉(zhuǎn)移函數(shù)H〔z〕中的各個(gè)參數(shù)h〔n〕,即濾波器的單位抽樣響應(yīng)及階數(shù)N,使得頻率特性滿足設(shè)計(jì)要求。通常FIR濾波器的設(shè)計(jì)方法主要有三種:窗函數(shù)法、頻率抽樣法和切比雪夫等波紋逼近法。其中窗函數(shù)法可以應(yīng)用比擬現(xiàn)成的窗函數(shù),因而設(shè)計(jì)簡單,在指標(biāo)要求不高的場合使用方便靈活。下面我們來簡單介紹一下這三種設(shè)計(jì)方法。(1)窗函數(shù)法窗函數(shù)設(shè)計(jì)方法的根本步驟是:1〕把展成FS,得;2〕對(duì)自然截短到所需的長度,如2M+1;3〕將截短后的右移M個(gè)采樣間隔,得;4)將乘以適宜的窗口,即得所要濾波器的沖擊響應(yīng),窗函數(shù)以n=M對(duì)稱。利用所求得的單位抽樣響應(yīng),即可用硬件構(gòu)成濾波器的轉(zhuǎn)移函數(shù)H(z),也可利用在計(jì)算機(jī)上用軟件來實(shí)現(xiàn)濾波。頻率抽樣法窗函數(shù)法是從時(shí)域出發(fā),用窗函數(shù)截取理想的得到,以此有限長的近似,這樣得到的頻率響應(yīng)逼近于理想的頻響。頻率抽樣法是從頻率出發(fā),將給定的理想頻響加以等間隔抽樣?!?〕Chebyshev逼近法窗函數(shù)法和頻率采樣法設(shè)計(jì)出的濾波器的頻率特性都是在不同意義上對(duì)所給理想頻率特性的逼近。由數(shù)值逼近理論可知,對(duì)某個(gè)函數(shù)f(x)的逼近一般有以下三種方法:插值法(InterpolatingWay)、最小平方逼近法(LeastSquareApproachingWay)、一致逼近法ConsistentApproachingWay。5.2.3窗函數(shù)法設(shè)計(jì)的根本思想窗函數(shù)的設(shè)計(jì)思想是選擇一種適宜的理想頻率特性的濾波器,然后截?cái)嗨拿}沖得到一個(gè)線性相位和因果的濾波器。因此這種方法關(guān)鍵在于選擇某種適宜的窗函數(shù)和一種理想濾波器。對(duì)于給定的濾波器的技術(shù)指標(biāo),選擇濾波器長度具有最窄主瓣寬度和盡可能小的旁瓣衰減的某個(gè)窗函數(shù)?,F(xiàn)介紹如下:首先設(shè)希望逼近的濾波器頻率響應(yīng)函數(shù)為,其單位脈沖響應(yīng)是〔9〕(10)如果能夠由的求出,經(jīng)過Z變換可得到濾波器的系統(tǒng)函數(shù)。但通常以理想的濾波器作為,其幅度特性逐段恒定,在邊界頻率處有不連續(xù)點(diǎn),因而是無限時(shí)寬的,且是非因果序列。然而我們實(shí)際設(shè)計(jì)的濾波器的單位脈沖響應(yīng)為h(n),長度為N,其系數(shù)函數(shù)H(z)為〔11〕這樣用一個(gè)有限長的序列h(n)去代替,肯定會(huì)引起誤差,表現(xiàn)在頻域就是通常所說的吉布斯效應(yīng)。這種吉布斯效應(yīng)是由于將直接截?cái)嘁鸬?,因此,也稱為截?cái)嘈?yīng)。5.2.4用窗函數(shù)設(shè)計(jì)FIR濾波器的步驟如果所希望的濾波器的理想的頻率響應(yīng)函數(shù)為,那么其對(duì)應(yīng)的單位脈沖響應(yīng)為〔4.1〕窗函數(shù)設(shè)計(jì)法的根本原理是用有限長單位脈沖響應(yīng)序列逼近。由于往往是無限長序列,而且是非因果的,所以用窗函數(shù)將截?cái)?,并進(jìn)行加權(quán)處理,得到: 〔4.2〕就作為實(shí)際設(shè)計(jì)的FIR數(shù)字濾波器的單位脈沖響應(yīng)序列,其頻率響應(yīng)函數(shù)為 〔4.3〕式中,N為所選窗函數(shù)的長度。我們知道,用窗函數(shù)法設(shè)計(jì)的濾波器性能取決于窗函數(shù)的類型及窗口長度N的取值。設(shè)計(jì)過程中,要根據(jù)對(duì)阻帶最小衰減和過渡帶寬度的要求選擇適宜的窗函數(shù)類型和窗口長度N。各種類型的窗函數(shù)可到達(dá)的阻帶最小衰減和過渡帶寬度見表4.1。表1各種窗函數(shù)的根本參數(shù)窗函數(shù)旁瓣峰值幅度/dB過渡帶寬阻帶最小衰減/dB矩形窗-134π/N-12三角形窗-258π/N-25漢寧窗-318π/N-44哈明窗-418π/N-53不萊克曼窗-5712π/N-74凱塞窗(α=7.865)-5710π/N-80這樣選定窗函數(shù)類型和長度N之后,求出單位脈沖響應(yīng),并按照式〔4.3〕求出。是否滿足要求,要進(jìn)行演算。一般在尾部加零使長度滿足2的整數(shù)次冪,以便用FFT計(jì)算。如果要觀察細(xì)節(jié),補(bǔ)零點(diǎn)數(shù)增多即可。如果不滿足要求,那么要重新選擇窗函數(shù)類型和長度N,再次驗(yàn)算,直至滿足要求。5.3FIR數(shù)字濾波器的MATLAB的實(shí)現(xiàn)5.3.1Matlab軟件介紹MATLAB是美國MathWorks公司出品的商業(yè)數(shù)學(xué)軟件,用于算法開發(fā)、數(shù)據(jù)可視化、數(shù)據(jù)分析以及數(shù)值計(jì)算的高級(jí)技術(shù)計(jì)算語言和交互式環(huán)境,主要包括MATLAB和Simulink兩大局部。它將數(shù)值分析、矩陣計(jì)算、科學(xué)數(shù)據(jù)可視化以及非線性動(dòng)態(tài)系統(tǒng)的建模和仿真等諸多強(qiáng)大功能集成在一個(gè)易于使用的視窗環(huán)境中,代表了當(dāng)今國際科學(xué)計(jì)算軟件的先進(jìn)水平。

MATLAB和Mathematica、Maple并稱為三大數(shù)學(xué)軟件。它在數(shù)學(xué)類科技應(yīng)用軟件中在數(shù)值計(jì)算方面首屈一指。MATLAB可以進(jìn)行矩陣運(yùn)算、繪制函數(shù)和數(shù)據(jù)、實(shí)現(xiàn)算法、創(chuàng)立用戶界面、連

matlab開發(fā)工作界面接其他編程語言的程序等,主要應(yīng)用于工程計(jì)算、控制設(shè)計(jì)、信號(hào)處理與通訊、圖像處理、信號(hào)檢測、金融建模設(shè)計(jì)與分析等領(lǐng)域。語法格式:B=firl〔n,Wn〕;B=firl〔n,Wn,‘ftype’〕;B=firl〔n,Window〕B=firl(n,Wn,’ftype’window).低通濾波器的MATLAB實(shí)現(xiàn)使用矩形窗,Hanning窗,hamming窗,布萊克曼窗對(duì)帶通原形進(jìn)行截取,低通濾波器指標(biāo)特性給出如下:fs=250KHZ,fp=20KHZ,Fs=30KHZ,通帶最大衰減Ap=3dB,阻帶最小衰減As=50dB其設(shè)計(jì)結(jié)果如下:幅度響應(yīng)相位響應(yīng)〔2〕帶通濾波器的設(shè)計(jì)使用矩形窗、hanning窗、hamming窗、布萊克曼窗四種窗對(duì)帶通原形進(jìn)行截取。帶通濾波器的指標(biāo)性能給出如下:,Rp=1dB;,Rp=1dB;,As=60dB;其設(shè)計(jì)結(jié)果如下:矩形窗設(shè)計(jì)Hanning窗設(shè)計(jì)Hamming窗設(shè)計(jì)布萊克曼窗設(shè)計(jì)5.4FFT參數(shù)的計(jì)算通過使用MATLAB工具箱實(shí)現(xiàn)數(shù)字濾波器,雖然可以方便的實(shí)現(xiàn)數(shù)字濾波,但是MATLAB實(shí)現(xiàn)的濾波器不能實(shí)現(xiàn)對(duì)輸入信號(hào)的實(shí)時(shí)處理,當(dāng)輸入信號(hào)改變時(shí)需要再次改變?yōu)V波器參數(shù),比擬繁瑣。而基于DSP實(shí)現(xiàn)的數(shù)字濾波器能夠克服修改參數(shù),這個(gè)繁瑣的問題,實(shí)現(xiàn)實(shí)時(shí)濾波實(shí)時(shí)處理的特性。用TMS3205420的匯編程序?qū)崿F(xiàn)FFT算法主要分為四步:實(shí)現(xiàn)輸入數(shù)據(jù)的比特反轉(zhuǎn)輸入數(shù)據(jù)的比特反轉(zhuǎn)實(shí)際上就是將輸入數(shù)據(jù)進(jìn)行進(jìn)行位碼倒置,以便于在整個(gè)運(yùn)算后的輸出序列是一個(gè)自然序列。在用匯編指令進(jìn)行位碼倒置時(shí),使用位碼倒置尋址可以大大提高程序執(zhí)行速度和使用存儲(chǔ)器的效率。在這種尋址方式下,AR0存放的整數(shù)N是FFT點(diǎn)的一半,一個(gè)輔助存儲(chǔ)器指向一個(gè)數(shù)據(jù)存放的單元,當(dāng)使用位碼倒置尋址將AR0加到輔助存儲(chǔ)器時(shí),地址將以位碼倒置的方式產(chǎn)生。實(shí)現(xiàn)N點(diǎn)復(fù)數(shù)FFTN點(diǎn)復(fù)數(shù)FFT算法的實(shí)現(xiàn)可以分為一個(gè)功能模塊,即第一級(jí)蝶形運(yùn)算,第二級(jí)蝶形元算,第三級(jí)至級(jí)蝶形運(yùn)算?!?〕功率譜的計(jì)算用FFT計(jì)算x〔n〕的頻譜,即計(jì)算:X〔k〕一般是由實(shí)部和虛部組成的復(fù)數(shù),即:因此,計(jì)算功率譜時(shí)只需將FFT變換好的數(shù)據(jù),按照實(shí)部和虛部求他們的平方和。然后對(duì)平方和進(jìn)行開平方運(yùn)算,但是考慮到編程的難度,對(duì)于求FFT變換后的數(shù)據(jù)的最大值,不開平方也可以找到最大值,并對(duì)功率譜的結(jié)果沒有影響,所以在實(shí)際的DSP編程中省去了開平方的運(yùn)算。輸出FFT結(jié)果5.5DSP程序流程圖六、實(shí)驗(yàn)結(jié)果本次設(shè)計(jì)的低通濾波器的主要性能指標(biāo):設(shè)計(jì)一個(gè)濾波器階數(shù)為51,采樣頻率fs為181KHZ,濾波器截止頻率fc為10KHZ的濾波器,具體設(shè)計(jì)如下:啟動(dòng)CCS2.0,用project/open翻開“〞工程文件,雙擊“〞及“Source〞可查看各源程序。加載“〞到主程序,K++處,設(shè)置斷點(diǎn),單機(jī)“Run〞運(yùn)行程序,程序?qū)⑦\(yùn)行至斷點(diǎn)處停止。用View/Graph/Time/Frequency翻開一個(gè)圖形觀察窗口:設(shè)置觀察圖形窗口變量及參數(shù)為:采用雙追蹤觀察在啟動(dòng)地址分別為0x3000H和0x3100H,長度為256的單元中數(shù)值的變化,是值類型為16位有符號(hào)整型變量,這兩段存儲(chǔ)單元中分別存放的是經(jīng)A/D轉(zhuǎn)換后的輸入混跌信號(hào)和對(duì)該信號(hào)進(jìn)行FIR濾波的結(jié)果。單機(jī)“Animate〞運(yùn)行程序,調(diào)整窗口并觀察濾波結(jié)果,仿真結(jié)果如下:調(diào)節(jié)DSP實(shí)驗(yàn)箱上輸入的正弦波的幅值或頻率旋鈕,再次觀察輸入波形,如以下圖:由上圖可知,上面窗口為從信號(hào)源單元產(chǎn)生的兩個(gè)不同頻率的混跌信號(hào),下面的窗口為其經(jīng)過所設(shè)計(jì)的低通濾波器,濾波以后輸出的波形,有上下兩個(gè)波形圖比照可知,本次設(shè)計(jì)的FIR數(shù)字低通濾波器起到了很好的低通濾波效果。當(dāng)對(duì)信號(hào)源輸入信號(hào)做出改變時(shí),如上圖所示,說明經(jīng)過所設(shè)計(jì)的低通濾波器輸出此號(hào)也隨之改變,仿真結(jié)果說明,基于DSP實(shí)現(xiàn)的濾波器具有穩(wěn)定性好,精確度高,靈活性強(qiáng)等優(yōu)點(diǎn),并能實(shí)現(xiàn)對(duì)信號(hào)的實(shí)時(shí)濾波。個(gè)人總結(jié)這次課程設(shè)計(jì)花的時(shí)間還是挺長的,主要是實(shí)驗(yàn)前沒有好好認(rèn)真的分析題目。直接看了題目后就開始敲程序,由于之前實(shí)驗(yàn)已經(jīng)做過關(guān)于IIR和FIR濾波器的設(shè)計(jì),所以認(rèn)為這次課程設(shè)計(jì)應(yīng)該很簡單。但是由于之前實(shí)驗(yàn)都是已經(jīng)給了技術(shù)指標(biāo),只要知道設(shè)計(jì)濾波器的方法就可以了,而這次的技術(shù)指標(biāo)需要自己確定。大概確定了技術(shù)指標(biāo)后,完成代碼后運(yùn)行,但是得到的波形并不理想。直接修改技術(shù)指標(biāo),然后再看輸出波形,感覺好似在試運(yùn)氣一樣。后來把程序擱在一邊,又重新翻閱了?信號(hào)與系統(tǒng)?下冊和?信號(hào)與系統(tǒng)實(shí)驗(yàn)教程?關(guān)于設(shè)計(jì)濾波器的局部,在理解了原理和過程之后,并沒有再去直接看結(jié)果,而是編寫程序分析濾波器的幅頻響應(yīng)。通過對(duì)模擬濾波器幅頻響應(yīng)的直觀觀察,慢慢調(diào)整參數(shù),得到了比擬理想的幅頻特性,最終得到比擬好的輸出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論