電能質(zhì)量檢測設(shè)計(jì)報(bào)告_第1頁
電能質(zhì)量檢測設(shè)計(jì)報(bào)告_第2頁
電能質(zhì)量檢測設(shè)計(jì)報(bào)告_第3頁
電能質(zhì)量檢測設(shè)計(jì)報(bào)告_第4頁
已閱讀5頁,還剩18頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

簡易電能質(zhì)量監(jiān)測裝置---------------------------- 精品word文檔 值得下載 值得擁有-------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------目錄一、系統(tǒng)設(shè)計(jì)方案及原理圖 31.1設(shè)計(jì)要求 31.2設(shè)計(jì)思想 3二、系統(tǒng)硬件設(shè)計(jì) 52.1信號(hào)波一周期采樣點(diǎn)數(shù)的確定 52.2電路設(shè)計(jì)圖 5移相電路 5整形電路 5采樣電路 6總電路圖 72.3電路分析 73軟件設(shè)計(jì) 83.1主程序流程圖 83.2各子程序流程圖 84系統(tǒng)測試 144.1測試儀器及測量方法 144.2測試結(jié)果及分析 145結(jié)束語 15參考文獻(xiàn) 15附錄 16程序附錄 1: 16---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------摘要: 本簡易電能質(zhì)量檢測裝置由單片機(jī)控制模塊,電源模塊,信號(hào)變換與處理模塊等構(gòu)成。c8051F020為主控單片機(jī),它能準(zhǔn)確的完成同時(shí)對一路工頻交流電的頻率、電壓有效值、電流有效值、有功功率、無功功率、功率因素等進(jìn)行測量。通過軟件對輸入電壓信號(hào)進(jìn)行實(shí)時(shí)采樣。系統(tǒng)調(diào)試時(shí),用函數(shù)發(fā)生器輸出正弦電壓信號(hào)作為交流信號(hào)的電壓信號(hào)輸入,此電壓信號(hào)經(jīng)自制的移向電路相后代表同一路信號(hào)的電路信號(hào)輸入。關(guān)鍵詞:電能質(zhì)量單片機(jī)工頻交流電一、系統(tǒng)設(shè)計(jì)方案及原理圖1.1設(shè)計(jì)要求1、測量交流輸入電壓有效值頻率:50Hz;測量范圍:100~500V;準(zhǔn)確度:±0.5%。2、測量交流輸入電流有效值頻率:50Hz;測量范圍:10~50A;準(zhǔn)確度:±0.5%。3、測量有功功率 P(單位為 W)、無功功率Q(單位為 var)、視在功率 S(單位為 VA)及功率因數(shù) PF(功率因數(shù)為有功功率與視在功率之比) 。有功功率、無功功率、視在功率準(zhǔn)確度:± 2%;功率因數(shù)顯示格式: 0.00~0.99。4、在交流電壓、交流電流、有功功率、無功功率、視在功率的測試過程中,能夠記錄它們的最大值和最小值。1.2設(shè)計(jì)思想通過分析題目,本檢測裝置主要有主控制器模塊、顯示模塊、按鍵模塊和信號(hào)變換與處理模塊等組成。---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------電壓輸入 電流輸入顯示模塊電壓變換及電流變換及處理模塊主控制器模塊處理模塊按鍵模塊方案一、分別測量電壓信號(hào)和電流信號(hào),但是,電流信號(hào)不易測量,需用到大量元器件,硬件電路會(huì)變得很復(fù)雜,成本也高。方案二、直接測量電壓信號(hào),通過模擬測量電流信號(hào),即對電壓信號(hào)移相測量電流信號(hào),再通過對電壓和電流的采樣和處理,計(jì)算出頻率,相位差、電壓有效值,最大電壓,最小電壓、電流有效值,最大電流,最小電流、 有功功率,無功功率,視在功率,功率因素,同時(shí)通過打點(diǎn)的方法將正弦波輸出到 lcd12864顯示器上。設(shè)計(jì)中,對電壓進(jìn)行移相得到模擬電流,再通過采樣保持電路實(shí)現(xiàn)對同一時(shí)刻的電壓和電流兩路信號(hào)的分別保持, 將采樣得到的電壓電流進(jìn)行模數(shù)轉(zhuǎn)換, 以得到實(shí)際電壓電流。 再對電路進(jìn)行整形, 通過捕獲上升沿來測量相位及頻率,這樣能確保功率測量的準(zhǔn)確性,而且成本比較低。設(shè)計(jì)圖如圖表 1:升壓1.5V 采樣保持 ADC移相電路 升壓1.5V 采樣保持整形電路 測相位整形電路 測頻率圖表 1顯示模塊中通過按鍵 1、2、3、4分別在lcd1602顯示頻率,相位差、電壓有效值,最大電壓,最小電壓、電流有效值,最大電流,最小電流、 有功功率,無功功率,視在功率,---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------功率因素。及在 lcd12864顯示電壓和電流各自的信號(hào)。二、系統(tǒng)硬件設(shè)計(jì)2.1信號(hào)波一周期采樣點(diǎn)數(shù)的確定在一個(gè)周期內(nèi),每次都從同一起點(diǎn)開始通過定時(shí)來采樣

64個(gè)點(diǎn)。將每采集的點(diǎn),通過

AD轉(zhuǎn)換。2.2電路設(shè)計(jì)圖移相電路圖1通過硬件模擬來達(dá)到電能質(zhì)量監(jiān)測的。考慮到電壓信號(hào)所相對的電流信號(hào)與電壓信號(hào)之間存在90度的相位差,電流信號(hào)滯后于電壓信號(hào)。所以通過移相電路即對輸入電壓信號(hào)移相來模擬電流信號(hào)。整形電路---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------圖2整形模塊利用比較器 LM393,將正弦波轉(zhuǎn)換成方波,再由限制方波的幅值,將輸出的方波幅值限定在 -1V~+4.3V

IN4148內(nèi)。

整流二極管來采樣電路圖3采樣保持模塊我們采用 LF398采樣/保持器來設(shè)計(jì)采樣電路。LF398是一種高性能單片采樣 /保持器。它通過 1k的電位器來實(shí)現(xiàn)調(diào)零的作用, 8引角的采樣控制信號(hào)可以由單片機(jī)來設(shè)定實(shí)現(xiàn),也可利用函數(shù)信號(hào)發(fā)生器的產(chǎn)生脈沖信號(hào)來實(shí)現(xiàn)控制LF398的采樣的點(diǎn)數(shù)。---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------總電路圖V+9104+5VC1Input峰峰值<=2.976 104C210KV-9R4V+910410KC42K R2 R3 100K

R2610K

104C710KR9V+910410KC8R81046.8KC9R6V-9TL4311uF104C610KR7C1010KR10V+910410KC11R5

20K1KR20R19V+9V-93LF3985ControlC17+5V10KR210.01uFR23R241K20KV+9V-93LF39853.3VD54148R22Port1KD641483.3VD74148R26PortR1104C5104 V-9C3

104C12V-9V+910410KC13R111041KR12 C14V-9V+910410K C15

ControlC18+5V10KR253.3V0.01uF4148D110KR141KR134148D23.3V414810KD3 R181K

1KD84148Port測相位引腳Port+5VD?相位超前與滯后判斷2DPRQ5Port相位滯后輸出0相位超前輸出136QCLKMM74HC74AJ+5VR151KR16

104C16V-9

R174148D4

Port 測頻率引腳2.3電路分析對電壓進(jìn)行移相得到模擬電流,再通過采樣保持電路實(shí)現(xiàn)對同一時(shí)刻電壓和電流兩路信號(hào)的分別保持,將采樣得到的電壓電流進(jìn)行模數(shù)轉(zhuǎn)換后, 以得到實(shí)際電壓電流。 再對電路進(jìn)行整形,通過捕獲上升沿來測量相位及頻率。測量相位時(shí),通過D觸發(fā)器來判斷相位超前還是滯后,如果輸出為 0則相位滯后,如果輸出為 1則相位超前。---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------軟件設(shè)計(jì)3.1主程序流程圖開始系統(tǒng)初始化鍵盤輸入NN輸入3?輸入1?輸入2?輸入4?YYYYNN計(jì)算并顯示頻計(jì)算并顯示電壓計(jì)算并顯示電壓計(jì)算并顯示功N率和相位差相關(guān)信息、電壓NN相關(guān)信息、電壓N率相關(guān)信息和電流的波形和電流的波形3.2各子程序流程圖(1)電壓、電流測量---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------開始清零捕獲次數(shù)計(jì)數(shù)器等待完成兩次捕獲調(diào)用函數(shù)采集一個(gè)周期內(nèi)的電壓和電流數(shù)據(jù)查找電壓和電流最大值和最小值計(jì)算電壓和電流有效值將電壓和電流的數(shù)字量轉(zhuǎn)換為實(shí)際值顯示電壓和電流最大值、最小值和有效值顯示電壓和電流的波形結(jié)束(2)頻率測量---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------開始清零捕獲次數(shù)計(jì)數(shù)器等待完成兩次捕獲計(jì)算頻率和相位差Y電壓是否超前電流N輸出‘+’輸出‘-’輸出頻率和相位差結(jié)束(3)功率測量---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------開始清零捕獲次數(shù)計(jì)數(shù)器等待完成兩次捕獲調(diào)用函數(shù)采集一個(gè)周期內(nèi)的電壓和電流數(shù)據(jù)查找電壓和電流的最大值、最小值計(jì)算有功功率記錄有功功率的最大值計(jì)算視在功率和功率因素輸出視在功率、功率因素、有功功率及其最大值結(jié)束(4)定時(shí)器 0中斷---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------開始清中斷標(biāo)志位重載計(jì)數(shù)初值清零control使LM398保持輸出選擇通道AIN00清除ADC轉(zhuǎn)換完成標(biāo)志并啟動(dòng)ADC0等待ADC0轉(zhuǎn)換完成采集并保存電壓數(shù)據(jù)選擇通道AIN01清除ADC轉(zhuǎn)換完成標(biāo)志并啟動(dòng)ADC0等待ADC0轉(zhuǎn)換完成采集并保存電流數(shù)據(jù)置1control使LM398采樣輸出結(jié)束5)PCA0中斷---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------開始CF=1?YN CF=0CCF0=1?YCCF0=0ccf0_overflow=0?Y清零計(jì)數(shù)器 NNccf0_overflow=1?Y計(jì)算周期N捕獲次數(shù)加1CCF1=1?YCCF1=0N ccf0_overflow==1?Y計(jì)算時(shí)間隔N結(jié)束---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------系統(tǒng)測試4.1測試儀器及測量方法測試儀表:函數(shù)信號(hào)發(fā)生器,數(shù)字萬用表,示波器測試方法:用函數(shù)信號(hào)發(fā)生器產(chǎn)生正弦信號(hào)波作為電壓信號(hào)波輸入,此電壓信號(hào)經(jīng)移相電路移相后作為同一路的電流信號(hào)輸入,經(jīng)采樣送單片機(jī)處理后顯示,將顯示的各數(shù)值同示波器觀察值作比較,計(jì)算出各誤差值。4.2測試結(jié)果及分析電壓有效值:U=1N1u.2nNn0電流有效值:I=1N1i.2nNn0有功功率:P=1N1()()uninNn0視在功率:S=U*I無功功率:Q=S-PP功率因素:S3V2V1V輸入信號(hào)峰峰值最大電壓1.4910.52最小電壓-1.49-1-0.52相對誤差0.0066670-0.04理論電壓有效值1.06080.70720.3536電壓有效值1.05260.71020.3746相對誤差0.00773-0.00424-0.05939最大電流1.51.010.54最小電流-1.5-1.01-0.54相對誤差0-0.01-0.08----------------------------精品word文檔值得下載值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------理論電流有效值1.06080.70720.3536電流有效值1.0530.71320.3787相對誤差0.007353-0.00848-0.07098理論有功功率0.9745070.4331140.108279有功功率0.840.380.1相對誤差0.1380260.1226330.076456理論視在功率1.1252970.5001320.125033視在功率1.050.470.12相對誤差0.0717110.064110.041941理論功率因素0.8660.8660.866功率因素0.80.810.8相對誤差0.0762120.0646650.076212結(jié)束語通過此次實(shí)驗(yàn)對于軟件和硬件方面的調(diào)試能力有所提高。在軟件編程方面,對于單片機(jī)中的一些芯片的接口的定義和調(diào)用接口的數(shù)據(jù)。根據(jù)單片機(jī)編程需要對硬件的一些指標(biāo)有所了解。在按電路圖焊接完,進(jìn)行硬件調(diào)試是發(fā)現(xiàn) LM393的2腳和6腳的輸入信號(hào)中有一些毛刺信號(hào)的干擾,為了消除這些毛刺信號(hào)的干擾,于是在這兩個(gè)引腳上加了兩個(gè)對地小電容。測相位的引腳也存在同樣的問題,就用了同樣的方法來解決。在軟件調(diào)試過程中發(fā)現(xiàn),用定時(shí)器 2、3來啟動(dòng)ADC0,雖然理論上是可以的,但是,由于ADC0啟動(dòng)和完成轉(zhuǎn)換,需要一定時(shí)間,而我們是在啟動(dòng) ADC0轉(zhuǎn)換的下一個(gè)時(shí)刻就直接讀取轉(zhuǎn)換結(jié)果,所以,中間出現(xiàn)了一些差錯(cuò)。于是,改用了置一 AD0BUSY 的方式來啟動(dòng),并且使用 while語句等到 ADC0轉(zhuǎn)換完成時(shí)才讀取轉(zhuǎn)換結(jié)果。這些天實(shí)驗(yàn)中發(fā)現(xiàn)目前所做的效率顯然高于之前的每一個(gè)實(shí)驗(yàn),原因很多,一是因?yàn)闆]有其他旁事,這樣會(huì)更專注。二是學(xué)習(xí)氛圍,學(xué)習(xí)氛圍很濃厚,每天都是 12小時(shí)都在編程。更多的是得到了太多的幫助, 學(xué)長一次次解決了我們看似無解的麻煩, 并教會(huì)我們一些無從得知的基礎(chǔ)知識(shí), 讓我們在單片機(jī)這條路上走得更長。 這些天學(xué)會(huì)了很多, 但是最重要的還是學(xué)會(huì)自主學(xué)習(xí),問題學(xué)會(huì)自己解決,這樣才能永無止盡地學(xué)下去。參考文獻(xiàn)1.黃志偉主編,全國大學(xué)生電子設(shè)計(jì)競賽訓(xùn)練教程北京:電子工業(yè)出版社,2004年2.趙佩華,眭碧霞主編,單片機(jī)原理及接口技術(shù)北京:機(jī)械工業(yè)出版社,2008年3.張友德主編,單片機(jī)微型機(jī)原理應(yīng)用于實(shí)驗(yàn)上海:復(fù)旦大學(xué)出版社,2000年---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------附錄程序附錄1:#include"c8051F020.h"#include"sysinit.h"#include"lcd1602.h"#include"keyscan.h"#include"adc.h"#include"lcd12864.h"#include"math.h"#include<stdio.h>externunsignedcharxdatalcd_buff0[16];//1602顯示緩沖區(qū)externunsignedcharxdatalcd_buff1[16];unsignedcharxdatatab10[];unsignedcharxdatatab20[];unsignedcharxdatatab30[];unsignedcharxdatatab40[];unsignedcharcodezuobiao[];unsignedintzhouqi,jiange;unsignedcharj=0,ccf0_overflow,key,key_value;floatfreqvalue,xiangweicha,U,Umax,Umin,U0,I,Imax,Imin,I0,power,S,pf,t;floatpowerl=0;floatxdataadc0_buff0[64];floatxdataadc0_buff1[64];sbitcontrol=P0^3;sbitflag=P0^5;voidpl(void);voiddy(void);voiddl(void);voidgl(void);voidcaiji(unsignedcharadc0_buff0[],unsignedcharadc0_buff1[]);voidtuxing(floatadc0_buff0[],floatadc0_buff1[]);voidPrintString(unsignedchar*str);voidpho_disp(unsignedchar*tab);voidmain(void){WDTCN=0xDE;WDTCN=0xAD;SysClkInit(); //配置系統(tǒng)時(shí)鐘,使用外部晶振,系統(tǒng)上電默認(rèn)使用內(nèi)部PortInit(); //I/O端口配置

2M

時(shí)鐘----------------------------

精品

word文檔 值得下載

值得擁有

------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------LCD1602_Init();

//lcd1602

初始化LCD12864_Init();ADC0_Init();PCA0CPM0=0x21;PCA0CPM1=0x21;PCA0MD=0x01;EA=1;ET0=1;EIE1=0x08;PCA0CN=0x40; //啟動(dòng)PCA0計(jì)數(shù)器工作TMOD=0x01;TH0=0xFD;TL0=0x8F;AMX0CF=0x00;while(1){key_value=Get_Key();if(key_value!=0xff)key=key_value;while(key==1){pl();key_value=Get_Key();if(key_value!=0xff)key=key_value;}while(key==2){dy();key_value=Get_Key();if(key_value!=0xff)key=key_value;}while(key==3){dl();key_value=Get_Key();if(key_value!=0xff)key=key_value;}while(key==4){---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------gl();key_value=Get_Key();if(key_value!=0xff)key=key_value;}}}voidpl(void){ccf0_overflow=0; //清零捕獲次數(shù)計(jì)數(shù)器,開始測量頻率信號(hào)while(ccf0_overflow<2); //等待第二次捕獲freqvalue=2000000.0/zhouqi;//計(jì)算頻率值xiangweicha=jiange*1.0/(zhouqi*1.0)*360-0.14;if(xiangweicha>180)xiangweicha=360-xiangweicha;if(flag==0)sprintf(lcd_buff0,"pd:+%-6.3f ",xiangweicha);if(flag==1)sprintf(lcd_buff0,"pd:-%-6.3f ",xiangweicha);LCD_SET_CURSOR(1,1);PrintString(lcd_buff0);sprintf(lcd_buff1,"freq:%-8.4f ",freqvalue);LCD_SET_CURSOR(2,1);PrintString(lcd_buff1);Delay_Ms(100);}voiddy(void){ccf0_overflow=0;

//清零捕獲次數(shù)計(jì)數(shù)器,開始測量頻率信號(hào)while(ccf0_overflow<2); //等待第二次捕獲caiji(adc0_buff0,adc0_buff1);U=0;Umax=adc0_buff0[0];Umin=adc0_buff0[0];for(j=0;j<64;j++){if(adc0_buff0[j]>Umax)Umax=adc0_buff0[j];if(adc0_buff0[j]<Umin)Umin=adc0_buff0[j];}for(j=0;j<64;j++){---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------U=U+pow(adc0_buff0[j]-(Umax+Umin)/2,2);}U=sqrt(U/64); //將電壓數(shù)字量轉(zhuǎn)換為實(shí)際電壓值U0=Umax;sprintf(lcd_buff0,"l:%-6.2fs:%-6.2f",Umax,Umin);LCD_SET_CURSOR(1,1);PrintString(lcd_buff0);sprintf(lcd_buff1,"Urms:%-6.4f ",U);LCD_SET_CURSOR(2,1);PrintString(lcd_buff1);tuxing(adc0_buff0,adc0_buff1);Delay_Ms(100);}voiddl(void) //電流測量{ccf0_overflow=0;//清零捕獲次數(shù)計(jì)數(shù)器,開始測量頻率信號(hào)while(ccf0_overflow<2);caiji(adc0_buff0,adc0_buff1);I=0;Imax=adc0_buff1[0];Imin=adc0_buff1[0];for(j=0;j<64;j++){if(adc0_buff1[j]>Imax)Imax=adc0_buff1[j];if(adc0_buff1[j]<Imin)Imin=adc0_buff1[j];}for(j=0;j<64;j++){I=I+pow(adc0_buff1[j]-(Imax+Imin)/2,2);}I=sqrt(I/64); //將電壓數(shù)字量轉(zhuǎn)換為實(shí)際電壓值I0=Imax;sprintf(lcd_buff0,"l:%-6.2fs:%-6.2f",Imax,Imin);LCD_SET_CURSOR(1,1);PrintString(lcd_buff0);sprintf(lcd_buff1,"Irms:%-6.4f ",I);---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------LCD_SET_CURSOR(2,1);PrintString(lcd_buff1);tuxing(adc0_buff0,adc0_buff1);Delay_Ms(100);}voidgl(void){ccf0_overflow=0;while(ccf0_overflow<2);caiji(adc0_buff0,adc0_buff1);Umax=adc0_buff0[0];Umin=adc0_buff0[0];Imax=adc0_buff1[0];Imin=adc0_buff1[0];for(j=0;j<64;j++){if(adc0_buff0[j]>Umax)Umax=adc0_buff0[j];if(adc0_buff0[j]<Umin)Umin=adc0_buff0[j];if(adc0_buff1[j]>Imax)Imax=adc0_buff1[j];if(adc0_buff1[j]<Imin)Imin=adc0_buff1[j];}power=0;for(j=0;j<64;j++){power=U*I+power;}U=0;I=0;for(j=0;j<64;j++){U=U+pow(adc0_buff0[j]-(Umax+Umin)/2,2);I=I+pow(adc0_buff1[j]-(Imax+Imin)/2,2);}U=sqrt(U/64);I=sqrt(I/64);power=power/64.0;---------------------------- 精品word文檔 值得下載 值得擁有------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- 精品word文檔 值得下載 值得擁有----------------------------------------------if(abs(t-Umax)>136)powerl=0;if(power>powerl)powerl=power;t=Umax;sprintf(lcd_buff0,"P:%-5.2fPL:%-5.2f",power,powerl);LCD_SET_CURSOR(1,1);PrintString(lcd_buff0);S=U*I;pf=power/S;sprintf(lcd_buff1,"S:%-5.2fpf:%-3.2f ",S,pf);LCD_SET_CURSOR(2,1);PrintString(lcd_buff1);Delay_Ms(100);

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論