直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計_第1頁
直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計_第2頁
直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計_第3頁
直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計_第4頁
直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計_第5頁
已閱讀5頁,還剩19頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

常熟理工學(xué)院實訓(xùn)任務(wù)報告書PAGEPAGE25目錄1.《智能儀器綜合設(shè)計》課程設(shè)計任務(wù)書 21.1課程設(shè)計任務(wù) 31.2課程設(shè)計目的 31.3課程設(shè)計要求 31.4課程設(shè)計內(nèi)容 31.5課程設(shè)計報告要求 31.6課程設(shè)計進度安排 41.7課程設(shè)計考核辦法 42.設(shè)計方案 52.1總體方案 52.2PID算法 52.2.1PID控制的基本組成 52.2.2PID控制中的主要技術(shù)指標(biāo)分析 62.3PWM脈沖控制 83.硬件模塊 93.1主體模塊 93.2顯示與鍵盤模塊 103.3轉(zhuǎn)動源模塊 123.4復(fù)位電路 134.軟件模塊 144.1主程序流程圖 144.2初始化模塊 144.3中斷模塊 165.收獲與體會 18參考文獻 19附錄 201.《智能儀器綜合設(shè)計》課程設(shè)計任務(wù)書題目:直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計1.1課程設(shè)計任務(wù)日常生活和生產(chǎn)中,需要對各種電機的轉(zhuǎn)速進行測量。該綜合設(shè)計要求完成基于AT89C52單片機的直流電機轉(zhuǎn)速測量與控制系統(tǒng)的設(shè)計。采用光電式傳感器進行信號的轉(zhuǎn)換,再經(jīng)過整形、放大等電路處理,將信號送入單片機進行數(shù)據(jù)處理,能夠?qū)υO(shè)定的電機轉(zhuǎn)速進行自動PID調(diào)節(jié),自動調(diào)節(jié)的效果可通過LabVIEW軟件編寫的上位機進行觀察和調(diào)整。1.2課程設(shè)計目的通過本次課程設(shè)計使學(xué)生掌握:1)微機接口技術(shù)與I/O通道電路的設(shè)計及實現(xiàn)方法;2)控制程序的設(shè)計及實現(xiàn)方法;3)微機控制系統(tǒng)的實際調(diào)試技巧。從而提高學(xué)生對微機實時控制系統(tǒng)的設(shè)計和調(diào)試能力。1.3課程設(shè)計要求1、該裝置要求在智能儀器綜合實驗平臺上完成軟件編程與實現(xiàn)。2、用數(shù)碼管來分別顯示工作狀態(tài)、設(shè)定速度和實際速度。3、用3只按鈕來分別作為開機/關(guān)機鍵、速度設(shè)定上升鍵和下降鍵。4、速度設(shè)定范圍500~3000轉(zhuǎn)/分,在某個速度設(shè)置點,要求使用PID控制。1.4課程設(shè)計內(nèi)容1、硬件電路原理圖;2、軟件流程圖及程序;3、實物調(diào)試及結(jié)果。1.5課程設(shè)計報告要求報告中提供如下內(nèi)容:1、目錄2、正文(1)課程設(shè)計任務(wù)書;(2)總體設(shè)計方案;(3)硬件原理圖(protel軟件);(4)程序流程圖及清單(子程序不提供清單,但應(yīng)列表反映每一個子程序的名稱及其功能);(5)實物調(diào)試及結(jié)果。3、收獲、體會4、參考文獻1.6課程設(shè)計進度安排周次工作日工作內(nèi)容第一周1布置課程設(shè)計任務(wù),查找相關(guān)資料2熟悉單片機、模數(shù)轉(zhuǎn)換器3完成總體設(shè)計方案4畫出硬件原理圖及程序流程圖5搭建硬件電路第二周1調(diào)試硬件電路2完成軟件的構(gòu)思并畫出流程圖3編寫程序并調(diào)試4編寫程序并調(diào)試5編寫程序并調(diào)試第三周1編寫程序并調(diào)試2編寫程序并調(diào)試及準(zhǔn)備課程設(shè)計報告3編寫程序并調(diào)試及準(zhǔn)備課程設(shè)計報告4完成課程設(shè)計報告并按規(guī)定時間提交5答辯1.7課程設(shè)計考核辦法本課程設(shè)計滿分為100分,從課程設(shè)計平時表現(xiàn)、課程設(shè)計報告及課程設(shè)計答辯三個方面進行評分,其所占比例分別為20%、40%、40%。2.設(shè)計方案2.1總體方案本次課程任務(wù)使用改變PWM脈沖控制直流電機轉(zhuǎn)速。按動按鍵對MCU設(shè)定值,將產(chǎn)生的PWM波輸送給電機驅(qū)動部分,轉(zhuǎn)動源模塊開始工作,光電轉(zhuǎn)速傳感器測得代表直流電動機速度的脈沖信號并將其反饋給MCU,MCU在比較轉(zhuǎn)速設(shè)定值和實際值的基礎(chǔ)上,以PID控制算法來調(diào)節(jié)PWM波,從而對電動機速度進行控制,LED用于顯示轉(zhuǎn)速的設(shè)定值和實際值。圖2.1方案流程圖2.2PID算法PID控制的基本原理2.2.1PID控制的基本組成PID控制由反饋系統(tǒng)偏差的比例(P)、積分(I)和微分(D)的線性組合而成,這3種基本控制規(guī)律各具特點。P比例控制:比例控制器在控制輸入信號e(t)變化時,只改變信號的幅值而不改變信號的相位,采用比例控制可以提高系統(tǒng)的開環(huán)增益。該控制為主要控制部分。D微分控制:微分控制器對輸入信號取微分或差分,微分反映的是系統(tǒng)的變化率,因此微分控制是一種超前預(yù)測性調(diào)節(jié),可以預(yù)測系統(tǒng)的變化,增大系統(tǒng)的阻尼,提高相角裕度起到改善系統(tǒng)性能的作用。但是,微分對干擾也有很大的放大作用,過大的微分會使系統(tǒng)震蕩加劇。I積分控制:積分是一種累加作用,它記錄了系統(tǒng)變化的歷史,因此,積分控制反映的是控制中歷史對當(dāng)前系統(tǒng)的作用。積分控制往系統(tǒng)中加入了零極點,可以提高系統(tǒng)的型別(控制系統(tǒng)型別即為開環(huán)傳遞函數(shù)的零極點的重數(shù),它表爭了系統(tǒng)跟隨輸入信號的能力),消除靜差,提高系統(tǒng)的無差度,但會使系統(tǒng)的震蕩加劇,超調(diào)增大,動態(tài)性能降低,故一般不單獨使用,而是與PD控制相結(jié)合。PID的復(fù)合控制:綜合以上幾種控制規(guī)律的優(yōu)點,使系統(tǒng)同時獲得很好的動態(tài)和穩(wěn)態(tài)性能。PID控制規(guī)律的基本輸入/輸出關(guān)系可用微分方程表示:(1)式中,e(t)為控制器的輸入偏差信號;為比例控制增益;為積分時間常數(shù);為微分時間常數(shù)。相應(yīng)的傳遞函數(shù)為:(2)若則式(2)還可以寫成(3)式中,。由式(3)可見,PID控制器向原系統(tǒng)增加了一個零極點,從而使系統(tǒng)從0型提高到1型,還提供兩個負(fù)實零點,同時提高系統(tǒng)穩(wěn)態(tài)性能和動態(tài)性能。PID控制器可由模擬執(zhí)行元件或具有運算功能的數(shù)字器件實現(xiàn)。數(shù)字PID的實現(xiàn)需要式(1)進行離散化,取采樣周期為T,改寫式(3),得(4)式中,,為控制器輸出的控制量,式中(4)即為數(shù)字PID控制器的直接算法。也可取其遞推算法;(5)式中,,,的選取一般取決于經(jīng)驗以及實驗現(xiàn)場的調(diào)整。2.2.2PID控制中的主要技術(shù)指標(biāo)分析動態(tài)指標(biāo)超調(diào)δ%,單位階躍響應(yīng)的最大值,超過穩(wěn)態(tài)值h(∞)的百分比,即(6)若則響應(yīng)無超調(diào)。超調(diào)量反映的是系統(tǒng)的振蕩性.調(diào)節(jié)時間、單位階躍響應(yīng)h(t)與穩(wěn)態(tài)值h(∞)之間的偏差達到規(guī)定的允許范圍(±2%或±5%),且以后不再超出此范圍的最短時間。調(diào)節(jié)時間描述系統(tǒng)響應(yīng)的快慢。一個好的控制系統(tǒng)應(yīng)該有盡可能小的超調(diào)和盡可能短的調(diào)節(jié)時間,且超調(diào)量與調(diào)節(jié)時間在很多情況下是矛盾的:小的超調(diào)量要求系統(tǒng)有大的阻尼系數(shù),而阻尼系數(shù)過大又會使響應(yīng)速度下降。不同的系統(tǒng)對兩個指標(biāo)的要求有所不同。通過選擇適當(dāng)?shù)目刂品椒梢允惯@兩個指標(biāo)達到平衡,使系統(tǒng)的整體性能達到最優(yōu)。在PID控制中,加入微分項就是為了增大系統(tǒng)的阻尼,同時由于微分控制是一種超前控制,因此,會加快響應(yīng)速度。穩(wěn)態(tài)誤差穩(wěn)態(tài)誤差由系統(tǒng)的結(jié)構(gòu)、輸入作用類型決定。定義為系統(tǒng)的穩(wěn)態(tài)誤差。為了提高系統(tǒng)的無差度,可以提高系統(tǒng)的型別(加入積分項)和增大系統(tǒng)的開環(huán)放大系數(shù)的措施。需要注意的是:積分級數(shù)太多和開環(huán)放大系數(shù)過大都會造成系統(tǒng)不穩(wěn)定,因此,要合理選擇。圖2.2和圖2.3、圖2.4、圖2.5、圖2.6為理想情況和實際情況下常遇到的PID控制的階躍響應(yīng)曲線圖2.2理想響應(yīng)曲線圖2.3無超調(diào)量圖2.4超調(diào)量偏大圖2.5積分時間太長圖2.6超調(diào)量偏大積分時間偏小2.3PWM脈沖控制在采樣控制理論中有一個重要的結(jié)論:沖量相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時,其效果基本相同。沖量即指窄脈沖的面積。這里所說的效果基本相同,是指環(huán)節(jié)的輸出響應(yīng)波形基本相同。如果把各輸出波形用傅立葉變換分析,則其低頻段非常接近,僅在高頻段略有差異。例如圖2.7中a、b、c所示的三個窄脈沖形狀不同,其中圖2.7的a為矩形脈沖,圖2.7的b為三角脈沖,圖2.7的c為正弦半波脈沖,但它們的面積(即沖量)都等于1,那么,當(dāng)它們分別加在具有慣性的同一環(huán)節(jié)上時,其輸出響應(yīng)基本相同。圖2.7三個脈沖形狀直流電動機的轉(zhuǎn)速調(diào)節(jié)主要有三種方法:調(diào)節(jié)電樞供電的電壓、減弱勵磁磁通和改變電樞回路電阻。由于PWM調(diào)速系統(tǒng)的開關(guān)頻率較高,僅靠電樞電感的濾波作用就可獲得平穩(wěn)的直流電流,低速特性好、穩(wěn)速精度高、調(diào)速范圍寬。同樣,由于開關(guān)頻率高,快速響應(yīng)特性好,動態(tài)抗干擾能力強,可以獲得很寬的頻帶;開關(guān)器件只工作在開關(guān)狀態(tài),因此主電路損耗小、裝置效率高;直流電源采用不可控整流時,電網(wǎng)功率因數(shù)比相控整流器高,故采用。3.硬件模塊3.1主體模塊主體模塊使用AT89C51單片機圖3.1AT89C51單片機其組成有一個8位CPU、一個偏內(nèi)振蕩器及時鐘電、128字節(jié)的片內(nèi)數(shù)據(jù)存儲器、4KB的片內(nèi)程序存儲器、可尋址的64KB字節(jié)外部數(shù)據(jù)存儲器和64KB字節(jié)外部程序存儲器的控制電路、21字節(jié)的專用寄存器、4個8位并行I/O接口、一個全雙工的串行口、2個16位的定時器/計數(shù)器和一個布爾處理機。在MCS-51系列單片機中具有5個中斷源和2個中斷優(yōu)先級,片內(nèi)采用單一總線結(jié)構(gòu)連接。51單片機優(yōu)點眾多性價比優(yōu)異;集成度高、體積小、有很高的可靠性;控制功能強;擴展性能好,非常容易構(gòu)成各種應(yīng)用系統(tǒng)。3.2顯示與鍵盤模塊顯示與鍵盤模塊使用按鍵與數(shù)碼管實現(xiàn),P1.0、P1.1分別實現(xiàn)減速與加速控制,P1.7實現(xiàn)設(shè)置轉(zhuǎn)速,數(shù)碼管實現(xiàn)實時顯示轉(zhuǎn)速。圖3.2按鍵連接圖3.3數(shù)碼管顯示3.3轉(zhuǎn)動源模塊設(shè)計采用了紅外光電傳感器,進行非接觸式檢測。當(dāng)有物體擋在紅外光電發(fā)光二極管和高靈敏度的光電晶體管之間時,傳感器將會輸出一個低電平,而當(dāng)沒有物體擋在中間時則輸出為高電平,從而形成一個脈沖。系統(tǒng)在光電傳感器收發(fā)端間加入電動機,并在電動機的轉(zhuǎn)軸上安裝一轉(zhuǎn)盤。在這個轉(zhuǎn)盤的邊沿處挖出若干個圓形過孔,把傳感器的檢測部分放在圓孔的圓心位置。每當(dāng)轉(zhuǎn)盤隨著后輪旋轉(zhuǎn)的時候,傳感器將向外輸出若干個脈沖。把這些脈沖通過一系列的波形整形成單片機可以識別的TTL電平,即可算出輪子即時的轉(zhuǎn)速。轉(zhuǎn)盤的圓孔的個數(shù)決定了測量的精度,個數(shù)越多,精度越高。這樣就可以在單位時間內(nèi)盡可能多地得到脈沖數(shù),從而避免了因為兩個過孔之間的距離過大,而正好在過孔之間或者是在下個過孔之前停止了,造成較大的誤差。設(shè)計中轉(zhuǎn)盤的圓孔的實際個數(shù)受到技術(shù)的限制。為了達到預(yù)定的效果設(shè)計在轉(zhuǎn)盤過孔的設(shè)計上采用6個過孔,從而留下了6個同等的間距。這樣在以后的軟件設(shè)計中能夠較為方便的計算出脈沖頻率。脈沖發(fā)生源的硬件結(jié)構(gòu)圖如圖3.1所示.。圖3.4脈沖發(fā)生源硬件結(jié)構(gòu)圖(左為正視圖,右為側(cè)視圖)3.4復(fù)位電路復(fù)位是單片機的初始化操作,以便使CPU和系統(tǒng)中其他部件都處于一個確定的初始狀態(tài),并從這個狀態(tài)開始工作。除了進入系統(tǒng)的正常初始化之外,當(dāng)單片機系統(tǒng)在運行出錯或操作錯誤使系統(tǒng)處于思索狀態(tài)時,也可按復(fù)位鍵重新啟動。89C51單片機復(fù)位信號的輸入端是RST引腳,高電平有效。RST端的外部復(fù)位電路有兩種操作方式:上電自動復(fù)位和按鍵手動復(fù)位。按鍵手動復(fù)位有電平方式和脈沖方式兩種。圖3.5復(fù)位電路單片機的復(fù)位操作使單片機進入初始化狀態(tài),其中包括使程序計數(shù)器PC=0000H,這表明程序從0000H地址單元開始執(zhí)行。4.軟件模塊4.1主程序流程圖在一個完整的系統(tǒng)中,只有硬件部分是不能完成相應(yīng)設(shè)計任務(wù)的,所以在該系統(tǒng)中軟件部分是非常重要的,按照要求和系統(tǒng)運行過程設(shè)計出主程序流程如圖4.1所示。圖4.1主程序流程圖4.2初始化模塊AT89C52有兩個定時器/計數(shù)器T0和T1,每個定時器/計數(shù)器均可設(shè)置成為16位,也可以設(shè)置成為13位進行定時或計數(shù)。計數(shù)器的功能是對T0或T1外來脈沖的進行計數(shù),外部輸入脈沖負(fù)跳變時,計數(shù)器進行加1。定時功能是通過計數(shù)器的計數(shù)來實現(xiàn)的,每個機器周期產(chǎn)生1個計數(shù)脈沖,即每個機器周期計數(shù)器加1,因此定時時間等于計數(shù)個數(shù)乘以機器周期。定時器工作時,每接收到1個計數(shù)脈沖(或機器周期)則在設(shè)定的初值基礎(chǔ)上自動加1,當(dāng)所有位都位1時,再加1就會產(chǎn)生溢出,將向CPU提出定時器溢出中斷身請。當(dāng)定時器采用不同的工作方式和設(shè)置不同的初值時,產(chǎn)生溢出中斷的定時值和計數(shù)值將不同,從而可以適應(yīng)不同的定時或計數(shù)控制。定時器有4種工作方式:方式0、方式1、方式2和方式3,在此對工作方式不做具體介紹。工作方式寄存器TMOD的設(shè)定:GATEC/TM1M0GATEC/TM1M0TMOD各位的含義如下:GATE:門控位,用于控制定時/計數(shù)器的啟動是否受外部中斷請求信號的影響。C/T:定時或計數(shù)方式選擇位,當(dāng)C/T=1時工作于計數(shù)方式;當(dāng)C/T=0時工作于定時方式.M1、M0:為工作方式選擇位,用于對T0的四種工作方式,T1的三種工作方式進行選擇,選擇情況如下表3-1:M1M0=00為方式0;M1M0=01為方式1;表3-1M1、M0為工作方式選擇位M0M1工作方式方式說明00013位定時/計數(shù)器01116位定時/計數(shù)器1028位自動重置定時/計數(shù)器113兩個8位定時/計數(shù)器(只有T0有)圖4.2定時計數(shù)子程序流程圖對定時器T0與計數(shù)器T1的初始化程序如下voidtime01_initiat(){ TMOD=0X11;//計數(shù)器0和定時器1 TL0=0Xfc; TH0=0X4b;//計數(shù)器0賦初值 TH1=0X4C; TL1=0X00;//定時器1賦初值 EA=1;//所有中斷開放 PT0=1;//定時器T0中斷為高優(yōu)先級 ET0=1; //允許T0溢出中斷 ET1=1;//允許T1溢出中斷 TR0=0;// TR1=0;// IT0=1;//跳沿觸發(fā)方式 EX0=0;//禁止外部中斷0中斷4.3中斷模塊AT89C52單片機中沒有專門的開中斷和關(guān)中斷指令,對各個中斷源的允許和屏蔽是由內(nèi)部的中斷允許寄存器IE的各位來控制的。中斷允許寄存器IE的字節(jié)地址為A8H,可以進行位尋址.表4-2中斷位尋址表IED7D6D5D4D3D2D1D0(A8H)EAET2ESE1EX1ET0EX0EA:中斷允許總控位。EA=0,屏蔽所有的中斷請求;EA=1,開放中斷。ET2:定時器/計數(shù)器T2的溢出中斷允許位ES:串行口中斷允許位。ET1:定時器/計數(shù)器T1的溢出中斷允許位。EX1:外部中斷INT1的中斷允許位。ET0:定時器/計數(shù)器T0的溢出中斷允許位。EX0:外部中斷INT0的中斷允許位。4.3顯示模塊本系統(tǒng)采用動態(tài)數(shù)碼管顯示,所以程序如下:voiddisplay_num4(uintnum){ uintqian; uintbai; uintshi; uintge;//定義qian,bai,shi,ge qian=num/1000; if(qian==0)qian=10; display_num1(qian,4);//千位 bai=num%1000; bai=bai/100; if(qian==10&&bai==0)bai=10; display_num1(bai,3);//百位 shi=num%100; shi=shi/10; if(qian==10&&bai==10&&shi==0) shi=10; display_num1(shi,2);//十位 ge=num%10; display_num1(ge,1);//個位}圖4.3顯示程序流程圖5.收獲與體會經(jīng)過了一番努力,在老師和同學(xué)的幫助下,我順利結(jié)束了此次的課程設(shè)計,在這個過程中,我了解了許多,感悟了許多。實踐是檢驗真理的唯一標(biāo)準(zhǔn),經(jīng)過這次作品設(shè)計,我深深理解了這句話,在經(jīng)過了仿真與實物的運用后,單片機各個部分的功能顯得明確而具體。這在作品設(shè)計之前只知道概念的我看來簡直不可思議,所以說,只有將理論與實際結(jié)合起來,才能讓人映像深刻并真正理解。這次實習(xí)是我學(xué)習(xí)生活中不可缺少的重要經(jīng)歷,其收獲與意義可見一斑。首先,我可以將自己所學(xué)的知識應(yīng)用于實際的工作中,理論和實際是不可分的,在實踐中學(xué)的知識得到了鞏固,解決問題的能力也得到了鍛煉,其次,本次實習(xí)開闊了我的視野,使我對法律在現(xiàn)實中的運作有所了解。通過這次設(shè)計,我深刻理解到要做好一件事情,必須有系統(tǒng)的思維方式和方法,要有耐心和恒心去鉆研努力,要善于運用資源豐富充實自己,要有整體的目光來看待事物,再從局部到整體慢慢實現(xiàn),這樣才會有效率。參考文獻[1]劉金錕.先進PID控制MATLAB仿真(第2版)[M].北京:電子工業(yè)出版社,2006.[2]鄭阿奇主編.MATLAB實用教程[M].北京:電子工業(yè)出版社,2004.[3]張思雨.預(yù)測控制算法和PID控制算法[J],燕山大學(xué)工學(xué)碩士學(xué)位論文,2003.[4]蔡美琴等.MCS-51系列單片機系統(tǒng)及其應(yīng)用第二版,高等教育出版社.[5]李全利.單片機原理及其接口技術(shù),高等教育出版社.[6]劉文定,王東林.過程控制系統(tǒng)的MATLAB仿真,機械工業(yè)出版社.附錄#include"reg52.h"#include"math.h"#defineucharunsignedchar#defineuintunsignedintucharcodexianshi[11]={0xFC,0x60,0xDA,0xF2,0x66,0xB6,0xbE,0xE0,0xFE,0xF6,0x00};sbitPWM=P1^7;sbitkey1=P1^0;sbitkey2=P1^1;sbitkey3=P1^2;uintwide=50;//脈寬計數(shù)uintt1=0; //低電平脈寬uintt2=0; //一個周期uinttime_low=0;uinttime_high=100;bithigh_flag=0;bitlow_flag=0;bitstate_flag=0;uintset_count=50;//設(shè)定轉(zhuǎn)速值uintrun_count=0;//實際頻率的讀取uintstop_count=0; //電機轉(zhuǎn)速存儲變量uinttime=0;inten=0,en_1=0,en_2=0; //定義三個時刻的誤差存儲變量floata0=1.7,a1=0.3,a2=0.002,un=0;//定義PID計算參公式的參數(shù)voiddelay1_ms(uinttime);voiddelay(uinttime);voiddisplay_num1(uintdat,ucharnum1);voiddisplay_num4(uintnum);voidtime01_initiat(void);voidkey_precess(void);voidpid(void);voidmain(){ time01_initiat();//初始化定時器0和定時器1 while(1) { key_precess(); //鍵盤掃描函數(shù) }}//1ms延時函數(shù)voiddelay1_ms(uinttime){ uinti,j; for(i=0;i<time;i++) for(j=0;j<123;j++);}//指定的位上顯示指定的一位數(shù)據(jù)voiddisplay_num1(uintdat,ucharnum1){ P0=xianshi[dat]; //段顯 switch(num1) { case1: P2=0x01;break; case2: P2=0x02;break; case3: P2=0x04;break; case4: P2=0x08;break; default:break; } delay1_ms(2);}//四位數(shù)據(jù)顯示函數(shù)voiddisplay_num4(uintnum){ uintqian; uintbai; uintshi; uintge; qian=num/1000; if(qian==0)qian=10; display_num1(qian,4); bai=num%1000; bai=bai/100; if(qian==10&&bai==0)bai=10; display_num1(bai,3); shi=num%100; shi=shi/10; if(qian==10&&bai==10&&shi==0) shi=10; display_num1(shi,2); ge=num%10; display_num1(ge,1);}//四位數(shù)據(jù)顯示函數(shù)voiddisplay_num4_1(uintnum){ uintqian; uintbai; uintshi; uintge; qian=num/1000; if(qian==0) qian=10; display_num1(qian,4); bai=num%1000; bai=bai/100; if(qian==10&&bai==0)bai=10; display_num1(bai,3); shi=num%100; shi=shi/10; if(qian==10&&bai==10&&shi==0) shi=10; display_num1(shi,2); ge=num%10; display_num1(ge,1);}voiddelay(uinttime){ uinti; for(i=0;i<time&&key1==1;i++) display_num4_1(stop_count*10);}voiddelay1(uintnum){ uinti; for(i=0;i<num;i++) display_num4(set_count*10);}voidtime01_initiat(){ TMOD=0X11;//計數(shù)器0和定時器1 TL0=0Xfc; TH0=0X4b;//計數(shù)器0賦初值0.5ms TH1=0X4C; TL1=0X00;//定時器1賦初值50ms EA=1; PT0=1; ET0=1; ET1=1; TR0=0; TR1=0; IT0=1; EX0=0;}voidkey_precess(){ if(key1==0&&key2==1&&key3==1)//判斷系統(tǒng)的運行模式,如果KEY1鍵 按下 //且state_flag為1則系統(tǒng)處于運行模式,如果state__flag為0則為設(shè)置模式 { while(key1==0); state_flag=~state_flag; if(state_flag==1) { EX0=1; TR1=1; TR0=1; en=0; en_1=0; en_2=0; un=0;wide=150; //wide=set_count; } } if(key1==1&&key2==0&&key3==1&&state_flag==0)//KEY2為按鍵加 { //while(key2==0); delay1(20); set_count+=1; if(set_count>300)set_count=50; } if(key1==1&&key2==1&&ke

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論