單片機(jī)交通燈課程設(shè)計(jì)報(bào)告_第1頁(yè)
單片機(jī)交通燈課程設(shè)計(jì)報(bào)告_第2頁(yè)
單片機(jī)交通燈課程設(shè)計(jì)報(bào)告_第3頁(yè)
單片機(jī)交通燈課程設(shè)計(jì)報(bào)告_第4頁(yè)
單片機(jī)交通燈課程設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

.PAGE.摘要11.引言22.總體設(shè)計(jì)方案22.1.總體要求22.1.1.設(shè)計(jì)目的22.1.2.設(shè)計(jì)任務(wù)和內(nèi)容32.1.3.方案設(shè)計(jì)與論證32.1.4.芯片簡(jiǎn)介52.2.設(shè)計(jì)方框圖83.總體設(shè)計(jì)及功能描述83.1.交通燈四種通行模式及行車方向指示83.2.交通燈顯示時(shí)間的理論分析與計(jì)算103.3.電路模塊113.數(shù)碼管顯示模塊11D紅綠燈顯示模塊133.3.3.復(fù)位電路153.3.4.晶振電路164.收獲和體會(huì)165.參考文獻(xiàn)166.附錄176.1.附錄1:程序清單176.2.附錄2:電路設(shè)計(jì)總圖22..摘要交通在人們的日常生活中占有重要的地位,隨著人們社會(huì)活動(dòng)的日益頻繁,這點(diǎn)更是體現(xiàn)的淋漓盡致。交通信號(hào)燈的出現(xiàn),使交通得以有效管制,對(duì)于疏導(dǎo)交通流量、提高道路通行能力,減少交通事故有明顯效果。近年來(lái)隨著科技的飛速發(fā)展,單片機(jī)的應(yīng)用正在不斷深入,同時(shí)帶動(dòng)傳統(tǒng)控制檢測(cè)技術(shù)日益更新。在實(shí)時(shí)檢測(cè)和自動(dòng)控制的單片機(jī)應(yīng)用系統(tǒng)中,單片機(jī)往往作為一個(gè)核心部件來(lái)使用,僅單片機(jī)方面知識(shí)是不夠的,還應(yīng)根據(jù)具體硬件結(jié)構(gòu)軟硬件結(jié)合,加以完善。本系統(tǒng)采用單片機(jī)AT89S52為中心器件來(lái)設(shè)計(jì)交通燈控制器,系統(tǒng)實(shí)用性強(qiáng)、操作簡(jiǎn)單、擴(kuò)展性強(qiáng)。本設(shè)計(jì)就是采用單片機(jī)模擬十字路口交通燈的各種狀態(tài)顯示以及倒計(jì)時(shí)時(shí)間。本設(shè)計(jì)系統(tǒng)由單片機(jī)I/O口擴(kuò)展系統(tǒng)、交通燈狀態(tài)顯示系統(tǒng)、LED數(shù)碼顯示系統(tǒng)、復(fù)位電路等幾大部分組成。系統(tǒng)除基本的交通燈功能外,還具有倒計(jì)時(shí)等功能,較好的模擬實(shí)現(xiàn)了十字路口可能出現(xiàn)的狀況。軟件上采用C51編程,主要編寫了主程序,LED數(shù)碼管顯示程序,中斷程序延時(shí)程序等。經(jīng)過(guò)整機(jī)調(diào)試,實(shí)現(xiàn)了對(duì)十字路口交通燈的模擬。關(guān)鍵字:電子線路AT89S52LED交通燈引言隨著社會(huì)和城市交通的快速發(fā)展,近幾年機(jī)動(dòng)車輛數(shù)字急劇增加,道路超負(fù)荷承載道路現(xiàn)象嚴(yán)重,致使交通事故逐年增加。交通燈是城市交通有序、安全、快速運(yùn)行的重要保障,因此解決好公路交通信號(hào)燈控制問(wèn)題也成了保障交通有序、安全、快速運(yùn)行的重要環(huán)節(jié)。本設(shè)計(jì)是一款基于單片機(jī)AT89C52為控制核心的交通控制系統(tǒng),它可以實(shí)現(xiàn)對(duì)車輛、行人的有效導(dǎo)引??傮w設(shè)計(jì)方案2.1總體要求本課程設(shè)計(jì)的題目機(jī)設(shè)計(jì)內(nèi)容自選,學(xué)生可以根據(jù)平時(shí)的觀察、了解單片機(jī)實(shí)際的應(yīng)用系統(tǒng),弄清其結(jié)構(gòu)和功能,結(jié)合單片機(jī)課程及其他相關(guān)課程的知識(shí),充分發(fā)揮自身的想象力和創(chuàng)造力,自行選定設(shè)計(jì)項(xiàng)目,但總體應(yīng)達(dá)到以下要求:用89S51CPU,12M時(shí)鐘,常規(guī)的上電和手動(dòng)復(fù)位電路包括3個(gè)以上的獨(dú)立式按鍵至少2位LED數(shù)碼顯示器及其接口一路蜂鳴器輸出至少有2路輸入信號(hào),可以是模擬量或數(shù)字量設(shè)計(jì)目的〔1加強(qiáng)對(duì)單片機(jī)和匯編語(yǔ)言的認(rèn)識(shí),充分掌握和理解設(shè)計(jì)各部分的工作原理、設(shè)計(jì)過(guò)程、選擇芯片器件、模塊化編程等多項(xiàng)知識(shí)?!?用單片機(jī)模擬實(shí)現(xiàn)具體應(yīng)用,使個(gè)人設(shè)計(jì)能夠真正使用。〔3把理論知識(shí)與實(shí)踐相結(jié)合,充分發(fā)揮個(gè)人能力,并在實(shí)踐中鍛煉?!?提高利用已學(xué)知識(shí)分析和解決問(wèn)題的能力?!?提高實(shí)踐動(dòng)手能力。設(shè)計(jì)任務(wù)和內(nèi)容設(shè)計(jì)任務(wù)單片機(jī)采用用AT89S52芯片,使用發(fā)光二極管〔紅,黃,綠代表各個(gè)路口的交通燈,用8段數(shù)碼管對(duì)轉(zhuǎn)換時(shí)間進(jìn)行倒時(shí)〔東西路口15秒,南北路口25秒,黃燈時(shí)間5秒。設(shè)計(jì)內(nèi)容〔1設(shè)計(jì)并繪制硬件電路圖〔2制作PCB并焊接好元器件〔3編寫程序并將調(diào)試好的程序固化到單片機(jī)中方案設(shè)計(jì)與論證電源提供方案為使模塊穩(wěn)定工作,須有可靠電源,采用單片機(jī)控制模塊提供電源。此方案的優(yōu)點(diǎn)是系統(tǒng)簡(jiǎn)明扼要,節(jié)約成本;缺點(diǎn)是輸出功率不高。復(fù)位方案復(fù)位方式有兩種:按鍵復(fù)位與軟件復(fù)位。由考慮到程序的簡(jiǎn)潔,避免冗長(zhǎng),本設(shè)計(jì)采用按鍵復(fù)位,在芯片的復(fù)位端口外接復(fù)位電路,通過(guò)按鍵對(duì)單片機(jī)輸入一個(gè)高電平脈沖,達(dá)到復(fù)位的目的。輸入方案直接在IO口線上接上按鍵開關(guān)。因?yàn)樵O(shè)計(jì)時(shí)精簡(jiǎn)和優(yōu)化了電路,所以剩余的口資源還比較多,我們使用2個(gè)按鍵,分別是K1、K2。

由于該系統(tǒng)對(duì)于交通燈及數(shù)碼管的控制,只用單片機(jī)本身的I/O口就可實(shí)現(xiàn),且本身的計(jì)數(shù)器及RAM已經(jīng)夠用。2.1.3.4.顯示界面方案采用數(shù)碼管與點(diǎn)陣LED相結(jié)合的方法因?yàn)樵O(shè)計(jì)既要求倒計(jì)時(shí)數(shù)字輸出,又要求有狀態(tài)燈輸出等,為方便觀看并考慮到現(xiàn)實(shí)情況,用數(shù)碼管與LED燈分別顯示時(shí)間與提示信息。這種方案既滿足系統(tǒng)功能要求,又減少了系統(tǒng)實(shí)現(xiàn)的復(fù)雜度。設(shè)計(jì)方框圖整個(gè)設(shè)計(jì)以AT89S52單片機(jī)為核心,由數(shù)碼管顯示,LED數(shù)碼管顯示,復(fù)位電路組成。硬件模塊入圖2-1。AT89S52AT89S52單片機(jī)數(shù)碼管顯示LED數(shù)碼管顯示晶振電路復(fù)位電路交通管理的方案論證東西、南北兩干道交于一個(gè)十字路口,各干道有一組紅、黃、綠三色的指示燈,指揮車輛和行人安全通行。紅燈亮禁止通行,綠燈亮允許通行。黃燈亮提示人們注意紅、綠燈的狀態(tài)即將切換,且黃燈燃亮?xí)r間為東西、南北兩干道的公共停車時(shí)間。指示燈燃亮的方案如表2。25S5S25S5S……東西道紅燈亮紅燈亮綠燈亮黃燈亮……南北道綠燈亮黃燈亮紅燈亮紅燈亮……表2說(shuō)明:〔1當(dāng)東西方向?yàn)榧t燈,此道車輛禁止通行,東西道行人可通過(guò);南北道為綠燈,此道車輛通過(guò),行人禁止通行。時(shí)間為25秒?!?黃燈5秒,警示車輛和行人紅、綠燈的狀態(tài)即將切換。〔3當(dāng)東西方向?yàn)榫G燈,此道車輛通行;南北方向?yàn)榧t燈,南北道車輛禁止通過(guò),行人通行。時(shí)間為25秒?!?這樣如上表的時(shí)間和紅、綠、黃出現(xiàn)的順序依次出現(xiàn)這樣行人和車輛就能安全暢通的通行。芯片簡(jiǎn)介1.AT89S52單片機(jī)簡(jiǎn)介其引DIP封裝的腳圖如下:主要性能與MCS-51單片機(jī)產(chǎn)品兼容、8K字節(jié)在系統(tǒng)可編程Flash存儲(chǔ)器、1000次擦寫周期、全靜態(tài)操作:0Hz~33Hz、三級(jí)加密程序存儲(chǔ)器、32個(gè)可編程I/O口線、三個(gè)16位定時(shí)器/計(jì)數(shù)器八個(gè)中斷源、全雙工UART串行通道、低功耗空閑和掉電模式、掉電后中斷可喚醒、看門狗定時(shí)器、雙數(shù)據(jù)指針、掉電標(biāo)識(shí)符。功能特性描述At89s52是一種低功耗、高性能CMOS8位微控制器,具有8K在系統(tǒng)可編程Flash存儲(chǔ)器。使用Atmel公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8位CPU和在系統(tǒng)可編程Flash,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。AT89S52具有以下標(biāo)準(zhǔn)功能:8k字節(jié)Flash,256字節(jié)RAM,32位I/O口線,看門狗定時(shí)器,2個(gè)數(shù)據(jù)指針,三個(gè)16位定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52可降至0Hz靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式。空閑模式下,CPU停止工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。8位微控制器8K字節(jié)在系統(tǒng)可編程FlashAT89S52P0口:P0口是一個(gè)8位漏極開路的雙向I/O口。作為輸出口,每位能驅(qū)動(dòng)8個(gè)TTL邏輯電平。對(duì)P0端口寫"1"時(shí),引腳用作高阻抗輸入。當(dāng)訪問(wèn)外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),P0口也被作為低8位地址/數(shù)據(jù)復(fù)用。在這種模式下,P0具有內(nèi)部上拉電阻。在flash編程時(shí),P0口也用來(lái)接收指令字節(jié);在程序校驗(yàn)時(shí),輸出指令字節(jié)。程序校驗(yàn)時(shí),需要外部上拉電阻。P1口:P1口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,p1輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P1端口寫"1"時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流〔IIL。此外,P1.0和P1.2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入〔P1.0/T2和時(shí)器/計(jì)數(shù)器2的觸發(fā)輸入〔P1.1/T2EX,具體如下表所示。在flash編程和校驗(yàn)時(shí),P1口接收低8位地址字節(jié)。引腳號(hào)第二功能P1.0T2〔定時(shí)器/計(jì)數(shù)器T2的外部計(jì)數(shù)輸入,時(shí)鐘輸出P1.1T2EX〔定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號(hào)和方向控制P1.5MOSI〔在系統(tǒng)編程用P1.6MISO〔在系統(tǒng)編程用P1.7SCK〔在系統(tǒng)編程用P2口:P2口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,P2輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P2端口寫"1"時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流〔IIL。在訪問(wèn)外部程序存儲(chǔ)器或用16位地址讀取外部數(shù)據(jù)存儲(chǔ)器〔例如執(zhí)行MOVXDPTR時(shí),P2口送出高八位地址。在這種應(yīng)用中,P2口使用很強(qiáng)的內(nèi)部上拉發(fā)送1。在使用8位地址〔如MOVXRI訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口輸出P2鎖存器的內(nèi)容。在flash編程和校驗(yàn)時(shí),P2口也接收高8位地址字節(jié)和一些控制信號(hào)。P3口:P3口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,p2輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P3端口寫"1"時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流〔IIL。P3口亦作為AT89S52特殊功能〔第二功能使用,如下表所示。在flash編程和校驗(yàn)時(shí),P3口也接收一些控制信號(hào)。端口引腳第二功能P3.0RXD<串行輸入口>P3.1TXD<串行輸出口>P3.2INTO<外中斷0>P3.3INT1<外中斷1>P3.4TO<定時(shí)/計(jì)數(shù)器0>P3.5T1<定時(shí)/計(jì)數(shù)器1>P3.6WR<外部數(shù)據(jù)存儲(chǔ)器寫選通>P3.7RD<外部數(shù)據(jù)存儲(chǔ)器讀選通>此外,P3口還接收一些用于FLASH閃存編程和程序校驗(yàn)的控制信號(hào)。RST——復(fù)位輸入。當(dāng)振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將是單片機(jī)復(fù)位。ALE/PROG——當(dāng)訪問(wèn)外部程存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ALE〔地址鎖存允許輸出脈沖用于鎖存地址的低8位字節(jié)。一般情況下,ALE仍以時(shí)鐘振蕩頻率的1/6輸出固定的脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過(guò)一個(gè)ALE脈沖。對(duì)FLASH存儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖〔PROG。如有必要,可通過(guò)對(duì)特殊功能寄存器〔SFR區(qū)中的8EH單元的D0位置位,可禁止ALE操作。該位置位后,只有一條MOVX和MOVC指令才能將ALE激活。此外,該引腳會(huì)被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置ALE禁止位無(wú)效。PSEN——程序儲(chǔ)存允許〔PSEN輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)AT89C52由外部程序存儲(chǔ)器取指令〔或數(shù)據(jù)時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖,在此期間,當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器,將跳過(guò)兩次PSEN信號(hào)。EA/VPP——外部訪問(wèn)允許,欲使CPU僅訪問(wèn)外部程序存儲(chǔ)器〔地址為0000H-FFFFH,EA端必須保持低電平〔接地。需注意的是:如果加密位LB1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存EA端狀態(tài)。如EA端為高電平〔接Vcc端,CPU則執(zhí)行內(nèi)部程序存儲(chǔ)器的指令。FLASH存儲(chǔ)器編程時(shí),該引腳加上+12V的編程允許電源Vpp,當(dāng)然這必須是該器件是使用12V編程電壓Vpp。復(fù)位晶振源設(shè)計(jì)方框圖復(fù)位晶振源AT89S52AT89S52LED倒計(jì)時(shí)顯示各路口紅綠燈燈LED倒計(jì)時(shí)顯示各路口紅綠燈燈總體設(shè)計(jì)及功能描述3.1交通燈四種通行模式及行車方向指示按交通燈控制規(guī)則,每個(gè)街口有轉(zhuǎn)彎、直行及行人三種指示燈。主要指示燈有紅、綠、黃三種顏色。交道口模型如圖4所示:圖2-1交道口模型圖4組LED數(shù)碼管按照設(shè)置的通行時(shí)間〔各路口默認(rèn)的通行時(shí)間均為1分鐘進(jìn)行倒計(jì)時(shí),并各自進(jìn)行紅、綠、黃燈顯示,共有四種通行狀態(tài),分別為:圖2-1a通行狀態(tài)一示意圖圖2-1b通行狀態(tài)二示意圖圖2-1c通行狀態(tài)三示意圖圖2-1d通行狀態(tài)四示意圖直行默認(rèn)時(shí)間為40秒,轉(zhuǎn)彎默認(rèn)時(shí)間為20秒,系統(tǒng)設(shè)置了任意更改功能,可以根據(jù)實(shí)際情況進(jìn)行倒計(jì)時(shí)調(diào)整,以提高車輛通過(guò)率,緩減交通壓力。在直行狀態(tài)變?yōu)檗D(zhuǎn)彎狀態(tài)時(shí)結(jié)束前5秒鐘,黃燈閃爍直至結(jié)束。請(qǐng)注意圖2-1b和圖2-1d,它們?cè)谝粋€(gè)時(shí)間段中四個(gè)方向都可以通車,這種狀態(tài)能在一定的時(shí)間內(nèi)達(dá)到較大的車流量,效率特別高。

依據(jù)上述的車輛行駛的狀態(tài)圖,可以列出各個(gè)路口燈的邏輯表,由于相向的燈的狀態(tài)圖是一樣的,所以只需寫出相鄰路口的燈的邏輯表;根據(jù)圖2-3可以看出,相鄰路口的燈它們的狀態(tài)在相位上相差180°。因此最終只需寫出一組S1、S2、S3、S4的邏輯狀態(tài)表。如表2-1所示。表2-1表中的"×"代表是紅燈亮〔也代表邏輯上的0,"√"是代表綠燈亮〔也代表邏輯上的1,依上表,就可以向相應(yīng)的端口送邏輯值。3.2.交通燈顯示時(shí)間的理論分析與計(jì)算東西和南北方向的放行時(shí)間的長(zhǎng)短是依據(jù)路口的各個(gè)方向平時(shí)的車流量來(lái)設(shè)定,并且S1、S2、S3、S4各個(gè)狀態(tài)保持的時(shí)間之有嚴(yán)格的對(duì)應(yīng)關(guān)系,其公式如下示。

T-S1+T-S2=T-S3

T-S2=T-S4

T-S1=T-S3

我們可以依據(jù)上述的標(biāo)準(zhǔn)來(lái)改變車輛的放行時(shí)間。按照一般的規(guī)則,一個(gè)十字路口可分為主干道和次干道,主干道的放行時(shí)間大于次干道的放行時(shí)間,我們?cè)O(shè)定值時(shí)也應(yīng)以此為參考3.3.電路模塊3.3.1.LED數(shù)碼管顯示模塊A、七段數(shù)碼顯示器:七段發(fā)光線段分別用a、b、c、d、e、f、g七個(gè)小寫字母表示。七段顯示組合與數(shù)字對(duì)照表〔表中"L"表示低電位,"H"表示高電位B、LED數(shù)碼管:半導(dǎo)體數(shù)碼管又稱LED數(shù)碼管,是一種廣泛使用的顯示器件。LED有兩種:共陽(yáng)極型和共陰極型。LED優(yōu)點(diǎn):亮度高、字形清晰,工作電壓低〔1.5~3V、體積小、可靠性高、壽命長(zhǎng),響應(yīng)速度極快。數(shù)碼管顯示子程序:DISP:MOVA,R2MOVB,#10DIVABMOV60H,AMOV61H,BMOVA,R3MOVB,#10DIVABMOV62H,AMOV63H,BMOV40H,#04HMOVR5,#0F7HMOVR0,#60HLLP:MOVA,R0MOVDPTR,#TABLEMOVCA,A+DPTRMOVP0,AMOVA,R5MOVP2,ALCALLDELAY1MOVP2,#0FFH;令顯示器熄滅,以免產(chǎn)生殘影RRAMOVR5,AINCR0DJNZ40H,LLPRETDELAY1:;延時(shí)子程序MOVR4,#12DL2:MOVR7,#12DJNZR7,$DJNZR4,DL2RETTABLE:DB0C0H,0F9H,0A4H,0B0H,099HDB092H,082H,0F8H,080H,090HEND3.3.2.LED紅綠燈顯示模塊本實(shí)驗(yàn)有四種狀態(tài):狀態(tài)1,東西綠燈亮,南北紅燈亮,此時(shí)P1.0口—P1.7口的高低電平為#0BBH。子程序:ST1:MOVP1,#0EBH;第一個(gè)狀態(tài),主干道亮綠燈、支干道亮紅燈;CJNER1,#0FFH,TZ1MOVR1,#00HDEC20HDEC23HTZ1:MOVR2,20HMOVR3,23HLCALLDISP;調(diào)用顯示子程序。MOVA,20HCJNEA,#00H,ST1MOV20H,#25狀態(tài)2,東西黃燈亮,南北紅燈亮,此時(shí)P1.0口—P1.7口的高低電平為#0BDH。子程序:ST2:MOVP1,#0EDH;第二個(gè)狀態(tài),主干道亮黃燈、支干道亮紅燈;CJNER1,#0FFH,TZ2MOVR1,#00HDEC21HDEC23HTZ2:MOVR2,21HMOVR3,23HLCALLDISP;調(diào)用顯示子程序。MOVA,21HCJNEA,#00H,ST2MOV21H,#05狀態(tài)3,東西紅燈亮,南北綠燈亮,此時(shí)P1.0口—P1.7口的高低電平為#0DEH。子程序:ST3:MOVP1,#0BEH;第三個(gè)狀態(tài),主干道亮紅燈、支干道亮綠燈;CJNER1,#0FFH,TZ3MOVR1,#00HDEC24HDEC22HTZ3:MOVR2,24HMOVR3,22HLCALLDISP;調(diào)用顯示子程序。MOVA,22HCJNEA,#00H,ST3MOV22H,#15狀態(tài)4,東西紅燈亮,南北黃燈亮,此時(shí)P1.0口—P1.7口的高低電平為#0EEH。再采用顯示子程序與延時(shí)子程序可使LED顯示燈按照要求點(diǎn)亮。子程序:ST4:MOVP1,#0DEH;第四個(gè)狀態(tài),主干道亮紅燈、支干道亮黃燈;CJNER1,#0FFH,TZ4MOVR1,#00HDEC24HDEC21HTZ4:MOVR2,24HMOVR3,21HLCALLDISP;調(diào)用顯示子程序。MOVA,21HCJNEA,#00H,ST4MOV21H,#05MOV24H,#20LJMPST1程序初始化程序初始化狀態(tài)1<S1>狀態(tài)2<S2>狀態(tài)3〔S3狀態(tài)4〔S43.3.3.復(fù)位電路復(fù)位方式有多種,本設(shè)計(jì)采用按鍵復(fù)位。接線圖如圖程序3.1復(fù)位電路,框圖在設(shè)定的定時(shí)時(shí)間內(nèi),89S52必須在RST引腳產(chǎn)生一個(gè)由高到低的電平變化,以清內(nèi)部定時(shí)器.3.3.4.晶振電路晶振電路原理圖如3-2:3-2晶振模塊原理圖選取原則:傳統(tǒng)做法,但能夠?qū)崿F(xiàn)所需,即最簡(jiǎn)單也最是實(shí)用。電容選取30pF,晶振為30MHz。收獲和體會(huì)通過(guò)這次課程設(shè)計(jì),使我得到了一次用專業(yè)知識(shí)、專業(yè)技能分析和解決問(wèn)題全面系統(tǒng)的鍛煉。使我在單片機(jī)的基本原理、單片機(jī)應(yīng)用系統(tǒng)開發(fā)過(guò)程,以及在常用編程設(shè)計(jì)思路技巧〔特別是匯編語(yǔ)言的掌握方面都能向前邁了一大步。本次課程設(shè)計(jì)的過(guò)程是艱辛的,不過(guò)收獲卻是很大的。在設(shè)計(jì)過(guò)程中,會(huì)出現(xiàn)了一些問(wèn)題,但都是常見(jiàn)的小問(wèn)題,如:代碼中雙引號(hào)的使用并不是在英語(yǔ)書寫狀態(tài)下,輸入字母出錯(cuò)等,在調(diào)試時(shí)出現(xiàn)異常,不過(guò)這些都是經(jīng)常性錯(cuò)誤,經(jīng)過(guò)調(diào)試修改都一一解決,程序順利完成,并實(shí)現(xiàn)了其功能。單片機(jī)課程設(shè)計(jì)讓我把以前學(xué)習(xí)到的知識(shí)得到鞏固和進(jìn)一步的提高認(rèn)識(shí),對(duì)已有知識(shí)有了更進(jìn)一步的理解和認(rèn)識(shí)。在此,由于自身能力有限,在課程設(shè)計(jì)中碰到了很多的問(wèn)題,我通過(guò)查閱相關(guān)書籍、資料以及和周圍同學(xué)交流。由于使用的是單片機(jī)作為核心的控制元件,使得電路的可靠性比較高,功能也比較強(qiáng)大,而且可以隨時(shí)的更新系統(tǒng),進(jìn)行不同狀態(tài)的組合。但是在我們?cè)O(shè)計(jì)和調(diào)試的過(guò)程中,也發(fā)現(xiàn)了一些問(wèn)題,譬如紅燈和綠燈的切換還不夠迅速,紅綠燈規(guī)則不效率還不是很高等等,這需要在實(shí)踐中進(jìn)一步完善。當(dāng)然,通過(guò)這次課程設(shè)計(jì),我也發(fā)現(xiàn)了自身的很多不足之處,在以后的學(xué)習(xí)中,我會(huì)不斷的完善自我。參考文獻(xiàn)[1].肖金球.單片機(jī)原理及接口技術(shù)[2].蔡朝洋.單片機(jī)控制實(shí)習(xí)與專題制作[3].樓然苗.單片機(jī)課程設(shè)計(jì)指導(dǎo)[4].趙廣林.電路設(shè)計(jì)與制版附錄附錄1:程序清單ORG0000HLJMPMAINORG0003HLJMPINTT0ORG0013HLJMPINTT1ORG001BHLJMPTT1ORG0100HTT1:MOVTH1,#0D8H;定時(shí)器1,定時(shí)10msMOVTL1,#0F0HDJNZR6,EXITMOVR6,#100;定時(shí)器定時(shí)100次MOVR1,#0FFHEXIT:RETIINTT0:MOVP1,#0BEH;外部中斷0,P3.2,$RETIINTT1:MOVP1,#0BBH;外部中斷1,P3.3,$RETIORG1000HMAIN:MOVR6,#100;主程序;MOVR1,#00HMOVTMOD,#10H;初始化MOVTH1,#0D8HMOVTL1,#0F0HMOVIE,#8DHMOV20H,#25MOV21H,#5MOV22H,#15MOV23H,#30MOV24H,#20SETBTR1ST1:MOVP1,#0EBH;第一個(gè)狀態(tài),主干道亮綠燈、支干道亮紅燈;CJNER1,#0FFH,TZ1MOVR1,#00HDEC20HDEC23HTZ1:MOVR2,20HMOVR3,23HLCALLDISP;調(diào)用顯示子程序。MOVA,20HCJNEA,#00H,ST1MOV20H,#25ST2:MOVP1,#0EDH;第二個(gè)狀態(tài),主干道亮黃燈、支干道亮紅燈;CJNER1,#0FFH,TZ2MOVR1,#00HDEC21HDEC23HTZ2:MOVR2,21HMOVR3,23HLCALLDISP;調(diào)用顯示子程序。MOVA,21HCJNEA,#00H,ST2MOV21H,#05MOV23H,#30ST3:MOVP1,#0BEH;第三個(gè)狀態(tài),主干道亮紅燈、支干道亮綠燈;CJNER1,#0FFH,TZ3MOVR1,#0

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論