第四章光檢測(cè)器與光接收機(jī)_第1頁
第四章光檢測(cè)器與光接收機(jī)_第2頁
第四章光檢測(cè)器與光接收機(jī)_第3頁
第四章光檢測(cè)器與光接收機(jī)_第4頁
第四章光檢測(cè)器與光接收機(jī)_第5頁
已閱讀5頁,還剩84頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第四章光檢測(cè)器與光接收機(jī)在光纖通信系統(tǒng)中,光接收機(jī)的任務(wù)是以最小的附加噪聲及失真,恢復(fù)出光纖傳輸后由光載波所攜帶的信息,因此光接收機(jī)的輸出特性綜合反映了整個(gè)光纖通信系統(tǒng)的性能。本章首先介紹光檢測(cè)器的原理與特性,然后以數(shù)字接收機(jī)為例對(duì)光接收機(jī)進(jìn)行詳細(xì)說明。第一節(jié):概述第二節(jié):光檢測(cè)器第三節(jié):數(shù)字接收機(jī)第一節(jié)概述

光接收機(jī)可分兩類:模擬接收機(jī)和數(shù)字接收機(jī),如下圖。它們均由光檢測(cè)器、低噪聲前置放大器及其他信號(hào)處理電路組成。數(shù)字接收機(jī)比較復(fù)雜,在主放大器后還有均衡濾波、定時(shí)提取與判決再生、峰值檢波與AGC放大等電路。光檢測(cè)器的作用是把接收到的光信號(hào)轉(zhuǎn)換成光電流。前置放大器的作用是對(duì)光檢測(cè)器生成的光電流進(jìn)行低噪聲放大。光纖通信接收機(jī)框圖

(a)模擬接收機(jī);(b)數(shù)字接收機(jī)

光檢測(cè)器和低噪聲放大器構(gòu)成接收機(jī)前端,其性能的優(yōu)劣是決定接收靈敏度的主要因素。主放大器的作用是把前端輸出的毫伏級(jí)信號(hào)放大到后面信號(hào)處理電路所需的電平(1-3V(峰-峰))。其余電路則對(duì)信號(hào)進(jìn)行進(jìn)一步的處理、整形,以提高系統(tǒng)的性能,最后解調(diào)出發(fā)送信息。衡量接收機(jī)性能的主要指標(biāo)是接收靈敏度及動(dòng)態(tài)范圍。1)接受靈敏度接受靈敏度是指達(dá)到指定誤碼率或信噪比時(shí)的最小接收信號(hào)光功率,通常用dBm表示。影響接受靈敏度的主要因素是光信號(hào)檢測(cè)過程及前置放大器中的各種噪聲。2)動(dòng)態(tài)范圍在實(shí)際的系統(tǒng)中,由于中繼距離、光纖損耗、連接器及熔接頭損耗不同,發(fā)送功率隨溫度的變化及老化原因,接收光功率有一定的范圍。定義:最大允許的接收光功率與最小可接收光功率之差為光接收機(jī)的動(dòng)態(tài)范圍。

最大光功率決定于非線性失真及前置放大器的飽和電平。

最小光功率則決定于接受靈敏度。第二節(jié)光檢測(cè)器光纖通信系統(tǒng)對(duì)光檢測(cè)器的要求:在工作波長(zhǎng)上光電轉(zhuǎn)換效率高,即對(duì)一定的入射光功率,能夠輸出盡可能大的光電流;響應(yīng)速度快,線性好及頻帶寬,使信號(hào)失真盡量小。;噪聲低,器件本身對(duì)信號(hào)的影響??;體積小、壽命長(zhǎng)、高可靠、工作電壓低等。在光纖通信中,滿足上述要求的光檢測(cè)器有兩種:PIN光電二極管和雪崩二極管(APD)一、光檢測(cè)器的工作原理1)PN結(jié)的光電效應(yīng)光電二極管(PD)是一個(gè)工作在反向偏壓下的PN結(jié)二極管,如下圖。由光電二極管作成的光檢測(cè)器的核心是PN結(jié)的光電效應(yīng)。當(dāng)PN結(jié)加反向偏壓時(shí),外加電場(chǎng)方向與PN結(jié)的內(nèi)建電場(chǎng)方向一致,勢(shì)壘加強(qiáng),在PN結(jié)界面附近載流子基本上耗盡形成耗盡區(qū)。當(dāng)光束入射到PN結(jié)上,且光子能量hv大于半導(dǎo)體材料的帶隙Eg時(shí),價(jià)帶上的電子吸收光子能量躍遷到導(dǎo)帶上,形成一個(gè)電子—空穴對(duì)。半導(dǎo)體中的光發(fā)射

(e)反向偏置的pn結(jié)

在耗盡區(qū),在內(nèi)建電場(chǎng)的作用下電子向N區(qū)漂移,空穴向P區(qū)漂移,如果PN結(jié)外電路構(gòu)成回路,就會(huì)形成光電流。當(dāng)入射光功率變化時(shí),光電流也隨之線性變化,從而把光信號(hào)轉(zhuǎn)換成電信號(hào)。當(dāng)入射光子能量小于Eg時(shí),不論入射光有多強(qiáng),光電效應(yīng)也不會(huì)發(fā)生,即產(chǎn)生光電效應(yīng)必須滿足:即存在

λc為產(chǎn)生光電效應(yīng)的入射光的最大波長(zhǎng),稱為截止波長(zhǎng)。以Si為材料的光電二極管,λc=1.06μm;以Ge為材料的光電二極管,λc=1.60μm。

利用光電效應(yīng)可以制造出簡(jiǎn)單的PN結(jié)光電二極管。但這種光電二極管結(jié)構(gòu)簡(jiǎn)單,無法降低暗電流和提高響應(yīng)度,器件的穩(wěn)定度也比較差,實(shí)際上不適合做光纖通信的檢測(cè)器。2)PIN光電二極管a、PIN光電二極管的結(jié)構(gòu)

PIN光電二極管是在摻雜濃度很高的P型、N型半導(dǎo)體之間,生成一層摻雜極低的本征材料,稱為I層。在外加反向偏置電壓作用下,I層中形成很寬的耗盡層。結(jié)構(gòu)如下圖:由于I層吸收系數(shù)很小,入射光可以很容易地進(jìn)入材料內(nèi)部被充分吸收而產(chǎn)生大量的電子—空穴對(duì),因此大幅度提高了光電轉(zhuǎn)換效率。另外,I層兩側(cè)的P層、N層很薄,光生載流子的漂移時(shí)間很短,大大提高了器件的響應(yīng)速度。PIN光電二極管結(jié)構(gòu)PIN光電二極管及能帶圖動(dòng)畫演示b、PIN光電二極管的特性

PIN光電二極管的主要特性包括波長(zhǎng)響應(yīng)范圍、響應(yīng)度、量子效率、響應(yīng)速度及噪聲特性等。波長(zhǎng)響應(yīng)范圍不同半導(dǎo)體材料存在著上限波長(zhǎng)即截止波長(zhǎng)。當(dāng)入射波長(zhǎng)遠(yuǎn)遠(yuǎn)小于截止波長(zhǎng)時(shí),光電轉(zhuǎn)換效率會(huì)大大降低。因此,半導(dǎo)體光電檢測(cè)器只可以對(duì)一定波長(zhǎng)范圍的光信號(hào)進(jìn)行有效的光電轉(zhuǎn)換,這一波長(zhǎng)范圍就是波長(zhǎng)響應(yīng)范圍。由于半導(dǎo)體材料對(duì)光的吸收,光在材料中按指數(shù)率衰減,因此在厚度W的材料內(nèi)被吸收的光功率為:式中:P0為入射光功率;α(λ)為材料吸收系數(shù),其大小與材料性質(zhì)有關(guān),且是波長(zhǎng)的函數(shù)。半導(dǎo)體材料的吸收作用隨波長(zhǎng)減小而迅速增強(qiáng),即α隨波長(zhǎng)減小而變大。下圖為光纖通信中用作光檢測(cè)器的幾種材料的吸收系數(shù)隨波長(zhǎng)的變化情況。材料吸收系數(shù)隨波長(zhǎng)的變化情況

從圖中可以看出,當(dāng)波長(zhǎng)很短時(shí),材料的吸收系數(shù)很大,這樣,光在半導(dǎo)體材料表層即被吸收殆盡。在表層產(chǎn)生的光生載流子要擴(kuò)散到耗盡層才能產(chǎn)生光生電流,而在表層為零電場(chǎng)擴(kuò)散區(qū),擴(kuò)散速度很慢,在光生載流子還沒有到達(dá)耗盡層時(shí)就大量被復(fù)合掉了,使得光電轉(zhuǎn)換效率在波長(zhǎng)很短時(shí)大大下降。綜上所述,檢測(cè)某波長(zhǎng)的光時(shí)要選擇合適材料作成的光檢測(cè)器。

首先,材料的帶隙決定了截止波長(zhǎng)要大于被檢測(cè)的光波波長(zhǎng),否則材料對(duì)光透明,不能進(jìn)行光電轉(zhuǎn)換。

其次,材料的吸收系數(shù)不能太大,以免降低光電轉(zhuǎn)換效率。

Si―PIN光電二極管的波長(zhǎng)響應(yīng)范圍為0.5~1μm。

Ge―PIN和InGaAs―PIN光電二極管的波長(zhǎng)響應(yīng)范圍約為1~1.7μm。響應(yīng)度響應(yīng)度是描述光檢測(cè)器能量轉(zhuǎn)換效率的一個(gè)參量。它定義為:

其中,P0為入射到光電二極管上的光功率;Ip為所產(chǎn)生的光電流。它的單位為A/W。量子效率量子效率表示入射光子轉(zhuǎn)換為光電子的效率。它定義為單位時(shí)間內(nèi)產(chǎn)生的光電子數(shù)與入射光子數(shù)之比,即:η=光電轉(zhuǎn)換產(chǎn)生的有效電子—空穴對(duì)數(shù)入射光子數(shù)其中,e為電子電荷,其值為1.6×10-19

C。所以有:式中,λ單位取μm??梢?,光電檢測(cè)器的響應(yīng)度隨波長(zhǎng)的增大而增大。下圖為PIN光電二極管的響應(yīng)度、量子效率與波長(zhǎng)的關(guān)系??梢钥闯觯憫?yīng)度、量子效率隨著波長(zhǎng)的變化而變化。

為提高量子效率,必須減少入射表面的反射率,使入射光子盡可能多地進(jìn)入PN結(jié);同時(shí)減少光子在表面層被吸收的可能性,增加耗盡區(qū)的寬度,使光子在耗盡區(qū)內(nèi)被充分吸收。光電二極管響應(yīng)度、量子效率與波長(zhǎng)的關(guān)系響應(yīng)速度響應(yīng)速度是光電檢測(cè)器的另一個(gè)重要參數(shù),通常用響應(yīng)時(shí)間(上升時(shí)間和下降時(shí)間),如下圖來表示。光檢測(cè)器的脈沖響應(yīng)光電二極管在接收機(jī)中使用時(shí)通常由偏置電路與放大器相連,這樣檢測(cè)器的響應(yīng)特性必然與外電路相關(guān)。如下圖,為檢測(cè)器電路及其等效電路,其中CPN為檢測(cè)器的結(jié)電容;Rb為偏置電阻;Ra、Ca分別為放大器的輸入電阻和輸入電容;Rs為檢測(cè)器的串聯(lián)電阻,通常只有幾歐,可以忽略。影響響應(yīng)速度的主要因素有:(1)檢測(cè)器及其有關(guān)電路的RC時(shí)間常數(shù),設(shè)它造成的脈沖前沿上升時(shí)間為:ιRC

要提高響應(yīng)速度,就要降低整個(gè)電路的時(shí)間常數(shù)。從檢測(cè)器本身來看,就要盡可能降低結(jié)電容光檢測(cè)器電路及等效電路式中,ε為材料的介電常數(shù),A為結(jié)面積,W為耗盡區(qū)厚度。(2)載流子漂移通過耗盡區(qū)的渡越時(shí)間,設(shè)上升時(shí)間為:ιdr

光電二極管的響應(yīng)速度主要受到耗盡區(qū)內(nèi)的載流子在電場(chǎng)作用下的漂移通過所需時(shí)間(即渡越時(shí)間)的限制。渡越時(shí)間:式中,vd為光身載流子的漂移速度。漂移運(yùn)動(dòng)的速度與電場(chǎng)強(qiáng)度有關(guān),電場(chǎng)強(qiáng)度較低時(shí),漂移速度正比于電場(chǎng)強(qiáng)度,當(dāng)電場(chǎng)強(qiáng)度達(dá)到某一值后,漂移速度不再變化。(3)耗盡區(qū)外產(chǎn)生的載流子擴(kuò)散引起的延遲,設(shè)上升時(shí)間為:ιdi

耗盡區(qū)外產(chǎn)生的載流子一部分復(fù)合,一部分?jǐn)U散到耗盡區(qū),被電路吸收。由于擴(kuò)散速度比漂移速度慢得多,因此,這部分載流子會(huì)帶來附加時(shí)延,會(huì)使輸出電信號(hào)脈沖拖尾加長(zhǎng)??偟纳仙龝r(shí)間為:噪聲特性光電二極管的噪聲包括量子噪聲、暗電流噪聲、漏電流噪聲以及負(fù)載電阻的熱噪聲。除負(fù)載電阻的熱噪聲以外,其它都為散彈噪聲。散彈噪聲是由于帶電粒子產(chǎn)生和運(yùn)動(dòng)的隨機(jī)性而引起的一種具有均勻頻譜的白噪聲。

量子噪聲是由于光電子產(chǎn)生和收集的統(tǒng)計(jì)特性造成的,與平均光電流Ip成正比。來自噪聲電流的均方值可表示為:Δf為噪聲帶寬暗電流噪聲是當(dāng)沒有入射光時(shí)流過器件偏置電路的電流,它是由于PN結(jié)內(nèi)熱效應(yīng)產(chǎn)生的電子—空穴對(duì)形成的,是PIN的主要噪聲源。暗電流的均方值可表示為:式中,Id為暗電流平均值。當(dāng)偏置電壓增大時(shí),暗電流增大。暗電流還隨著器件溫度的升高而增加。暗電流的大小與光電二極管的結(jié)面積成正比,故常用單位面積上的暗電流即暗電流密度來衡量。下圖給出了幾種光電檢測(cè)器常用材料的暗電流密度與偏置電壓的關(guān)系。除此之外,光電二極管中還有表面漏電流。表面漏電流是由于器件表面物理特性的不完善,如表面缺陷、不清潔和加有偏置電壓而引起的。漏電流的均方值可表示為:IL為漏電流平均值漏電流和暗電流一樣,都只能通過合理設(shè)計(jì)、良好的結(jié)構(gòu)以及嚴(yán)格的工藝來降低。任何電阻都具有熱噪聲,只要溫度高于絕對(duì)零度,電阻中大量的電子就會(huì)在熱激勵(lì)下作無規(guī)則運(yùn)動(dòng),由此在電阻上形成無規(guī)則弱電流,造成電阻的熱噪聲。均方熱噪聲電流為:式中,R為等效電阻,T為絕對(duì)溫度,k為玻爾茲曼常數(shù)。因此,光電二極管的總均方噪聲電流為:量子噪聲不同于熱噪聲,它伴隨著信號(hào)的產(chǎn)生而產(chǎn)生,隨著信號(hào)的增大而增大。當(dāng)沒有光入射時(shí),信號(hào)消失,量子噪聲也同時(shí)消失。3)雪崩光電二極管(APD)a、雪崩光電二極管的結(jié)構(gòu)當(dāng)耗盡區(qū)中的場(chǎng)強(qiáng)達(dá)到足夠高時(shí),入射光產(chǎn)生的電子或空穴將不斷被加速而獲得很高的能量,這些高能量的電子和空穴在運(yùn)動(dòng)過程中與晶格碰撞,使晶體中的原子電離,激發(fā)出新的電子—空穴對(duì)。這些碰撞電離產(chǎn)生的電子和空穴在場(chǎng)中也被加速,也可以電離其它的原子。經(jīng)過多次電離后,載流子迅速增加,形成雪崩倍增效應(yīng)。

APD就是利用雪崩倍增效應(yīng)使光電流得到倍增的高靈敏度的檢測(cè)器。下圖為一種被稱為拉通型APD(RAPD)的結(jié)構(gòu)。

π層為低摻雜區(qū)(接近本征態(tài)),而且很寬。當(dāng)偏壓加達(dá)到一定程度后,耗盡區(qū)將被拉通到π層,一直抵達(dá)P+層。這是一種全耗盡型結(jié)構(gòu),具有光電轉(zhuǎn)換效率高、響應(yīng)速度快和附加噪聲低等優(yōu)點(diǎn)。一種稱為拉通型APD(RAPD)的結(jié)構(gòu)圖(a)RAPD的結(jié)構(gòu)示意圖;(b)場(chǎng)分布示意圖

動(dòng)畫演示

倍增的高電場(chǎng)區(qū)集中在pn+結(jié)附近窄的區(qū)域內(nèi)。隨著偏置電壓的增加,結(jié)區(qū)的耗盡層逐漸加寬,直到p區(qū)的載流子全部耗盡,是p區(qū)成為耗盡區(qū)。進(jìn)一步加大偏置電壓,耗盡區(qū)逐漸擴(kuò)大,直至“拉通”到整個(gè)π區(qū)。

π區(qū)較寬以提高量子效率。π區(qū)電場(chǎng)比pn+結(jié)區(qū)電場(chǎng)低。入射光子在π區(qū)吸收后建立一次電子-空穴對(duì),其中電子在電場(chǎng)作用下向pn+結(jié)漂移,并在pn+結(jié)區(qū)內(nèi)產(chǎn)生雪崩倍增;一次空穴則直接被P+吸收。b、雪崩光電二極管的特性與PIN相比,雪崩光電二極管的主要特性也包括波長(zhǎng)響應(yīng)范圍、量子效率、響應(yīng)度、響應(yīng)速度等。除此之外,由于APD中雪崩倍增效應(yīng)的存在,APD的特性還包括雪崩倍增特性、倍增噪聲、溫度特性等。1)倍增因子

定義倍增因子g為APD輸出光電流Io和一次光生電流Ip的比值:

g值隨反向偏壓、波長(zhǎng)和溫度而變化。顯然,APD的響應(yīng)度比PIN增加了g倍?,F(xiàn)在APD的g值已達(dá)到幾十甚至上百。2)噪聲特性

APD中的噪聲除了量子噪聲、暗電流噪聲、漏電流噪聲之外,還有附加的倍增噪聲。

雪崩倍增效應(yīng)不僅對(duì)信號(hào)電流有放大作用,而且對(duì)噪聲電流也有放大作用。同時(shí)雪崩效應(yīng)產(chǎn)生的載流子也是隨機(jī)的,所以會(huì)引入新的噪聲成分。用附加噪聲因子F(大于1)可描述雪崩效應(yīng)的隨機(jī)性所引起的噪聲增加的倍數(shù)。通常附加噪聲因子可表示為:

F=g

x

式中,x稱為附加噪聲指數(shù),反映了不同材料的APD的附加噪聲的大小。對(duì)于Si,x=0.3~0.5;對(duì)于Ge,x=0.6~1.0;對(duì)于InGaAsP,x=0.5~0.7。

APD中表面漏電流不被倍增,熱噪聲與PIN的特性相同。量子噪聲為:暗電流噪聲為3)溫度特性當(dāng)溫度變化時(shí),原子的熱運(yùn)動(dòng)狀態(tài)發(fā)生變化,從而引起電子、空穴電離系數(shù)的變化,使得APD的增益也隨溫度而變化。隨著溫度的升高,倍增增益下降。為保持穩(wěn)定的增益,需要在溫度變化的情況下進(jìn)行溫度補(bǔ)償。第三節(jié)數(shù)字接收機(jī)一、數(shù)字光接收機(jī)的組成數(shù)字光接收機(jī)的組成如下圖所示,主要包括光檢測(cè)器、前置放大器、主放大器、均衡器、時(shí)鐘提取電路、取樣判決器以及自動(dòng)增益控制(AGC)電路等。數(shù)字光接收機(jī)組成框圖

前置放大器是低噪聲放大器,它的噪聲對(duì)光接收機(jī)的靈敏度影響很大。前置放大器的噪聲取決于放大器的類型。主放大器一般是多級(jí)放大器,它的作用是提供足夠的增益,并通過它實(shí)現(xiàn)自動(dòng)增益控制(AGC),以使輸入光信號(hào)在一定范圍內(nèi)變化時(shí),輸出電信號(hào)保持恒定不變。主放大器和AGC決定著光接收機(jī)的動(dòng)態(tài)范圍。

均衡器的目的是對(duì)經(jīng)光纖傳輸、光/電轉(zhuǎn)換和放大后已產(chǎn)生畸變(失真)的電信號(hào)進(jìn)行補(bǔ)償,使輸出信號(hào)的波形適合于判決(一般用具有升余弦譜的碼元脈沖波形),以消除碼間干擾,減小誤碼率。

再生電路包括判決電路和時(shí)鐘提取電路,它的功能是從放大器輸出的信號(hào)與噪聲混合的波形中提取碼元時(shí)鐘,并逐個(gè)對(duì)碼元波形進(jìn)行取樣判決,以得到原發(fā)送的碼流。數(shù)字光發(fā)射機(jī)的主要指標(biāo)有靈敏度、誤碼率和信噪比。二、數(shù)字接收機(jī)的噪聲在數(shù)字光纖通信系統(tǒng)中,傳輸?shù)檬怯伞?”和“1”組成的二進(jìn)制光脈沖信號(hào),這是一種單極性碼,即光功率在“接通”和“斷開”兩個(gè)電平上變動(dòng)。按照“1”碼時(shí)碼元寬度相對(duì)于碼元周期T的大小,分為歸零碼(RZ碼)與非歸零碼(NRZ碼)兩種。

RZ碼的占空比小于0.5,NRZ碼的占空比為1。數(shù)字光纖通信中的碼元

數(shù)字信號(hào)在光纖系統(tǒng)中的傳輸接收機(jī)的噪聲及其分布數(shù)字信號(hào)傳輸過程中由于疊加噪聲及波形失真等原因,會(huì)使原來發(fā)送的“1”碼,在接收端判決時(shí)被誤判為“0”碼;原來發(fā)送的“0”碼,可能被誤判為“1”碼。產(chǎn)生誤碼的主要原因:光接收機(jī)的噪聲特性和系統(tǒng)帶寬的限制。下面根據(jù)數(shù)字光接收機(jī)模型簡(jiǎn)單地分析一下噪聲來源。入射光脈沖為hp(t),輸出光脈沖為hout(t)。光檢測(cè)器用信號(hào)電流源is及暗電流源iD表示。光檢測(cè)器電容與前置放大器電容并聯(lián),形成總的輸入電容。線性通道包括前置放大器、主放大器及均衡濾波器,其傳遞函數(shù)(包括光檢測(cè)器)為ZT(w),它具有電阻量綱,將輸入電流轉(zhuǎn)換成輸出電壓。接收機(jī)放大噪聲主要來自線性通道前端的前置放大器,用等效輸入噪聲電流源iC表示。數(shù)字光接收機(jī)模型在這個(gè)模型中,線性通道輸出的總噪聲功率<n2(t)>有三項(xiàng):入射光脈沖功率產(chǎn)生的噪聲APD暗電流產(chǎn)生的噪聲放大器產(chǎn)生的電路噪聲因此,總噪聲功率<n2(t)>為:三、數(shù)字光接收機(jī)的前置放大器電路由于前置放大器的噪聲特性是影響光接收機(jī)靈敏度的主要因素之一,因此前置放大器必須有良好的噪聲特性,同時(shí)還必須考慮帶寬特性。前置放大器電路有三類,即低阻抗前置放大器電路、高阻抗前置放大器電路和互阻抗前置放大器電路,如下圖。接收機(jī)前置放大器

(a)電壓放大器;(b)高阻抗前端;(c)互阻抗前端圖(a)的電壓放大器是一種最簡(jiǎn)單的設(shè)計(jì),檢測(cè)光電流流過電阻后產(chǎn)生信號(hào)電壓,然后進(jìn)行電壓放大。為了獲得大的帶寬,Rb通常取得較?。ㄈ?0Ω或75Ω),直接與微波阻抗匹配,因此稱為低阻抗前端。圖(b)的高阻抗前端是最靈敏的設(shè)計(jì),它的輸入電阻非常高(可達(dá)1~10MΩ),熱噪聲特別小。但是,它的帶寬非常窄,需要增加均衡網(wǎng)絡(luò)進(jìn)行頻響特性補(bǔ)償,因此結(jié)構(gòu)復(fù)雜。圖(c)的互阻抗前端設(shè)計(jì)采用負(fù)反饋來降低輸入阻抗,改善頻率響應(yīng),增加動(dòng)態(tài)范圍。但反饋電阻的存在使設(shè)計(jì)的噪聲性能比高阻抗設(shè)計(jì)稍差。四、數(shù)字接收機(jī)的性能(一)誤碼率誤碼率是衡量數(shù)字光纖通信系統(tǒng)傳輸質(zhì)量?jī)?yōu)劣的非常重要的指標(biāo),它反映了在數(shù)字傳輸過程中信息受到損害的程度。

誤碼的定義:光纖數(shù)字傳輸系統(tǒng)的誤碼性能用誤碼率來衡量。即在特定的一段時(shí)間內(nèi)所接收的錯(cuò)誤碼元與同一時(shí)間內(nèi)所接收的總碼元數(shù)之比。BER=錯(cuò)誤接收的碼元數(shù)傳輸?shù)目偞a元數(shù)誤碼發(fā)生的形態(tài)和原因:誤碼發(fā)生的形態(tài)主要有兩類:一類是隨機(jī)形態(tài)的誤碼,即誤碼主要是單個(gè)隨機(jī)發(fā)生的,具有偶然性;另一類是突發(fā)的、成群發(fā)生的誤碼,這種誤碼可能在某個(gè)瞬間集中發(fā)生,而其它大部分時(shí)間無誤碼發(fā)生。誤碼發(fā)生的原因是多方面的。如電纜數(shù)字網(wǎng)中的熱噪聲,交換設(shè)備的脈沖噪聲干擾,雷電的電磁感應(yīng),電力線產(chǎn)生的干擾等。當(dāng)信號(hào)從接收機(jī)均衡器輸出時(shí),由于疊加了接收機(jī)前端噪聲及光纖色散等影響,使“1”電平和“0”電平的界限變得不很確定,因而判決時(shí)就有可能產(chǎn)生誤碼。如下圖。

BER是在一個(gè)較長(zhǎng)時(shí)間內(nèi)的傳輸碼流中出現(xiàn)誤碼的概率,它對(duì)話音影響的程度取決于編碼方法。對(duì)于PCM而言,誤碼率對(duì)話音的影響程度如下表所示。接收信號(hào)脈沖(a)與它們的概率密度(b)

誤碼性能的評(píng)定方法:由于誤碼率隨時(shí)間變化,用長(zhǎng)時(shí)間內(nèi)的平均誤碼率來衡量系統(tǒng)性能的優(yōu)劣,顯然不夠準(zhǔn)確。在實(shí)際監(jiān)測(cè)和評(píng)定中,應(yīng)采用平均誤碼率、劣化分、嚴(yán)重誤碼秒和誤碼秒來表示。1、平均誤碼率在一段較長(zhǎng)的時(shí)間內(nèi)出現(xiàn)的誤碼個(gè)數(shù)和傳輸?shù)目偞a元數(shù)的比值。平均誤碼率反映了測(cè)試時(shí)間內(nèi)的平均誤碼結(jié)果,因此適合于計(jì)量隨機(jī)誤碼,但無法反映誤碼的隨機(jī)性和突發(fā)性。2、劣化分每分鐘的誤碼率劣于10-6這個(gè)閾值稱為劣化分,用DM表示。我們?nèi)】傆^測(cè)時(shí)間為TL,它的大小可以是幾天或一個(gè)月,一個(gè)取樣觀測(cè)時(shí)間T0為1分鐘。從總觀測(cè)時(shí)間TL中扣除不可用時(shí)間(連續(xù)10秒平均誤碼率劣于10-3)和嚴(yán)重誤碼秒后所得可用分鐘。ITU―T建議該性能指標(biāo)應(yīng)達(dá)到在TL內(nèi)累計(jì)的劣化分個(gè)數(shù)占可用分鐘數(shù)時(shí)間百分?jǐn)?shù)少于10%。

4、嚴(yán)重誤碼秒每秒內(nèi)的誤碼率劣于10-3這個(gè)閾值稱為嚴(yán)重誤碼秒,用SES表示。取總觀測(cè)時(shí)間為TL,一個(gè)取樣觀測(cè)時(shí)間T0為1秒鐘。ITU―T建議該性能指標(biāo)應(yīng)達(dá)到在TL中可用時(shí)間內(nèi)累計(jì)的嚴(yán)重誤碼秒個(gè)數(shù)占可用時(shí)間秒數(shù)的時(shí)間百分?jǐn)?shù)少于0.2%。5、誤碼秒每個(gè)觀測(cè)秒內(nèi),出現(xiàn)的誤碼數(shù)為0,用ES表示。取總觀測(cè)時(shí)間為TL,一個(gè)取樣觀測(cè)時(shí)間T0為1秒鐘。ITU―T建議該性能指標(biāo)應(yīng)達(dá)到在TL中可用時(shí)間內(nèi)累計(jì)的誤碼秒占可用時(shí)間秒數(shù)的時(shí)間百分?jǐn)?shù)少于8%。(二)接收靈敏度1、接收機(jī)靈敏度的定義接收機(jī)靈敏度是指在滿足給定誤碼率條件下,光端機(jī)光接口R點(diǎn)能夠接收到的最小平均光功率電平值LR。通常用dBm作為靈敏度的衡量單位。接收機(jī)的靈敏度是光端機(jī)的重要性能指標(biāo),它表示了光端機(jī)接收微弱信號(hào)的能力。它與系統(tǒng)要求的誤碼率,系統(tǒng)的碼速、接收端光電檢測(cè)器的性能有關(guān)。2、測(cè)試方法接收機(jī)靈敏度的測(cè)試原理框圖如下圖所示。測(cè)試步驟如下:誤碼儀發(fā)送符合要求的偽隨機(jī)測(cè)試信號(hào)。逐漸加大光可變衰減器的衰減量,使光端機(jī)接收到的光功率逐漸減小,這時(shí)誤碼儀檢測(cè)到的誤碼率逐漸增加到規(guī)定的誤碼率,并維持一段時(shí)間。從R點(diǎn)斷開光端機(jī)的連接器,將光功率計(jì)連接到光可變衰減器的輸出端,讀取光功率計(jì)上的數(shù)值,即是光端機(jī)能夠接收的最小光功率。接收機(jī)靈敏度測(cè)試原理圖(三)動(dòng)態(tài)范圍1、動(dòng)態(tài)范圍的定義光接收機(jī)對(duì)它能接收到的光功率有一個(gè)最小值(接收機(jī)靈敏度),當(dāng)接收機(jī)收到的信號(hào)小于這個(gè)最小值時(shí),系統(tǒng)的誤碼率就達(dá)不到要求。若接收機(jī)接收的光功率過大,也會(huì)使系統(tǒng)的誤碼率達(dá)不到要求。所以,為了保證系統(tǒng)的誤碼特性,光接收機(jī)收到的光功率只能在一定的范圍內(nèi)。這個(gè)范圍就是動(dòng)態(tài)范圍D。

2、測(cè)試方法動(dòng)態(tài)范圍的測(cè)試原理圖與接收機(jī)靈敏度的測(cè)試原理圖一樣。測(cè)試步驟如下:前三步的測(cè)試與接收機(jī)的靈敏度的測(cè)試步驟一樣。將可變衰減器的輸出端接回到光端機(jī)的R點(diǎn),逐漸減小光衰減量,使光端機(jī)收到的光功率逐漸增大,誤碼率也逐漸增大到規(guī)定的值,并維持一段時(shí)間。再將光功率計(jì)接回到光衰減器的輸出端,讀取光功率計(jì)上的數(shù)值,即是光端機(jī)能夠收到的最大光功率。根據(jù)公式算出動(dòng)態(tài)范圍。(四)抖動(dòng)特性1、抖動(dòng)的定義抖動(dòng)是數(shù)字信號(hào)傳輸中的一種瞬時(shí)不穩(wěn)定現(xiàn)象。即數(shù)字信號(hào)脈沖的有效瞬間對(duì)于標(biāo)準(zhǔn)時(shí)間位置的短時(shí)間偏離,稱為抖動(dòng)。下圖為定時(shí)抖動(dòng)的圖解定義。抖動(dòng)可分為相位抖動(dòng)和定時(shí)抖動(dòng)。相位抖動(dòng):是指?jìng)鬏斶^程中所形成的周期性的相位變化。定時(shí)抖動(dòng)是:指脈碼傳輸系統(tǒng)中的同步誤差。定時(shí)抖動(dòng)的圖解定義抖動(dòng)的大小或幅度通??捎脮r(shí)間、相位或數(shù)字周期來表示。目前多用數(shù)字周期來表示,即“單位間隔”,用符號(hào)UI(UnitInterval),也就是1比特信息所占有的時(shí)間間隔。例如碼速率為34.363Mb/s的脈沖信號(hào),1UI=1/34.363μs。顯然它在數(shù)值上等于傳輸比特率的倒數(shù)。2、抖動(dòng)產(chǎn)生的原因1.數(shù)字再生中繼器引起的抖動(dòng)。由于再生中繼器中的定時(shí)恢復(fù)電路的不完善及再生中繼器的累計(jì)導(dǎo)致了抖動(dòng)的產(chǎn)生和累加。2.數(shù)字復(fù)接及分接器引起的抖動(dòng)。在復(fù)接器的支路輸入口,各支路數(shù)字信號(hào)附加上碼速調(diào)整控制比特和幀定位信號(hào)形成群輸出信號(hào)。而在分接器的輸入口,要將附加比特扣除,恢復(fù)原分支數(shù)字信號(hào),這些將不可避免地引起抖動(dòng)。3.噪聲引起的抖動(dòng)。由于數(shù)字信號(hào)處理電路引起的各種噪聲。4.其它原因。由于環(huán)境溫度的變化、傳輸線路的長(zhǎng)短及環(huán)境條件等也會(huì)引起抖動(dòng)。3、抖動(dòng)的類型1.隨機(jī)性抖動(dòng)。在再生中繼器內(nèi)與傳輸信號(hào)關(guān)系不大的抖動(dòng)來源稱為隨機(jī)性抖動(dòng)。這些抖動(dòng)主要由于環(huán)境變化、器件老化及定時(shí)調(diào)諧回路失調(diào)引起。2.系統(tǒng)性抖動(dòng)。由于碼間干擾,定時(shí)電路幅度—相位轉(zhuǎn)換等因素引起的抖動(dòng)。4、抖動(dòng)的容限1.輸入抖動(dòng)容限輸入抖動(dòng)容限是系統(tǒng)允許的輸入信號(hào)的最低抖動(dòng)限值,即加大輸入信號(hào)的抖動(dòng)值,直到設(shè)備由不誤碼到開始誤碼的這個(gè)分界點(diǎn)。2.輸出抖動(dòng)容限系統(tǒng)輸入信號(hào)無抖動(dòng)時(shí),由于數(shù)字系統(tǒng)內(nèi)的中繼器產(chǎn)生抖動(dòng),并按一定規(guī)律進(jìn)行累計(jì),于是在數(shù)字系統(tǒng)輸出端產(chǎn)生抖動(dòng)。3.抖動(dòng)轉(zhuǎn)移特性指輸出信號(hào)的抖動(dòng)值和輸入信號(hào)的抖動(dòng)值之比,它是衡量光端機(jī)自身對(duì)抖動(dòng)特性的傳遞關(guān)系。由于輸入口數(shù)字信號(hào)的抖動(dòng)經(jīng)設(shè)備或系統(tǒng)轉(zhuǎn)移后到達(dá)輸出口,從而構(gòu)成了輸出抖動(dòng)的另一個(gè)來源。光纖數(shù)字通信系統(tǒng)方框圖一個(gè)完整的光纖通信系統(tǒng)的具體組成如下圖所示五、數(shù)字接收機(jī)中的定時(shí)提取與判決再生(一)定時(shí)提取均衡器輸出的信號(hào)脈沖在被判定為“1”碼或“0”碼時(shí),通常在碼元中心、信號(hào)最大時(shí)進(jìn)行判決,因此抽樣判決時(shí)刻的準(zhǔn)確性很重要。下圖示出了抽樣時(shí)間偏差與BER增大倍數(shù)的關(guān)系。BER增大與抽樣時(shí)間偏差的關(guān)系從圖中可知,接收機(jī)的定時(shí)提取電路要有高的穩(wěn)定性。在數(shù)字光纖傳輸系統(tǒng)中,有兩種方法獲得定時(shí)時(shí)鐘信號(hào),一是在發(fā)送的信號(hào)中單獨(dú)傳輸時(shí)鐘信號(hào),二是從接收的數(shù)字信號(hào)中提取時(shí)鐘信號(hào)。一般采用后者。定時(shí)提取電路的框圖如下圖所示。主放輸出的數(shù)字信號(hào)先微分在全波整流,得到與要求的時(shí)鐘信號(hào)同樣周期的序列脈沖。然后

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論