數(shù)字電子技術(shù)實驗報告_第1頁
數(shù)字電子技術(shù)實驗報告_第2頁
數(shù)字電子技術(shù)實驗報告_第3頁
數(shù)字電子技術(shù)實驗報告_第4頁
數(shù)字電子技術(shù)實驗報告_第5頁
已閱讀5頁,還剩21頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

..專業(yè):班級:學(xué)號:__指導(dǎo)電氣學(xué)院實驗一集成門電路邏輯功能測試一、實驗?zāi)康?.驗證常用集成門電路的邏輯功能;2.熟悉各種門電路的邏輯符號;3.熟悉TTL集成電路的特點,使用規(guī)則和使用方法。二、實驗設(shè)備及器件1.數(shù)字電路實驗箱2.萬用表3.74LS00四2輸入與非門1片74LS86四2輸入異或門1片74LS11三3輸入與門1片74LS32四2輸入或門1片74LS04反相器1片實驗原理集成邏輯門電路是最簡單,最基本的數(shù)字集成元件,目前已有種類齊全集成門電路。TTL集成電路由于工作速度高,輸出幅度大,種類多,不宜損壞等特點而得到廣泛使用,特別對學(xué)生進(jìn)行實驗論證,選用TTL電路較合適,因此這里使用了74LS系列的TTL成路,它的電源電壓為5V+10%,邏輯高電平"1"時>2.4V,低電平"0"時<0.4V。實驗使用的集成電路都采用的是雙列直插式封裝形式,其管腳的識別方法為:將集成塊的正面〔印有集成電路型號標(biāo)記面對著使用者,集成電路上的標(biāo)識凹口左,左下角第一腳為1腳,按逆時針方向順序排布其管腳。實驗內(nèi)容=1\*GB4㈠根據(jù)接線圖連接,測試各門電路邏輯功能1.利用Multisim畫出以74LS11為測試器件的與門邏輯功能仿真圖如下按表1—1要求用開關(guān)改變輸入端A,B,C的狀態(tài),借助指示燈觀測各相應(yīng)輸出端F的狀態(tài),當(dāng)電平指示燈亮?xí)r記為1,滅時記為0,把測試結(jié)果填入表1—1中。輸入狀態(tài)輸出狀態(tài)ABCY00000010010001101000101011001111懸空111懸空000表1-174LS11邏輯功能表2.利用Multisim畫出以74LS32為測試器件的或門邏輯功能仿真圖如下按表1—2要求用開關(guān)改變輸入端A,B的狀態(tài),借助指示燈觀測各相應(yīng)輸出端F的狀態(tài),把測試結(jié)果填入表1—2中。輸入狀態(tài)輸出狀態(tài)ABY0000111011110懸空11懸空1懸空01懸空11懸空懸空1表1—274LS32邏輯功能表3.利用Multisim畫出以74LS04為測試器件的非門邏輯功能仿真圖如下按表1—3要求用開關(guān)改變電平開關(guān)的狀態(tài),借助指示燈觀測各相應(yīng)輸出端F的狀態(tài),把測試結(jié)果填入表1—3中。表1—374LS04邏輯功能表輸入輸出狀態(tài)〔0|10100懸空0=2\*GB4㈡根據(jù)管腳功能圖連接,測試各門電路邏輯功能1.74LS00四二輸入與非門管腳功能如下圖所示,用其中一個門測試其邏輯功能。利用Multisim畫出以74LS00為測試器件的非門邏輯功能仿真圖如下按表1—4要求用開關(guān)改變輸入端的狀態(tài),借助指示燈觀測各相應(yīng)輸出端的狀態(tài),把測試結(jié)果填入表1—4中。表1—474LS00邏輯功能表輸入狀態(tài)輸出狀態(tài)UAUBY0010111011100懸空11懸空0懸空01懸空10懸空懸空02.74LS86四二輸入異或門管腳功能如下圖所示,用其中一個門測試其邏輯功能。利用Multisim畫出以74LS86為測試器件的非門邏輯功能仿真圖如下按表1—5要求用開關(guān)改變輸入端的狀態(tài),借助指示燈觀測各相應(yīng)輸出端的狀態(tài),把測試結(jié)果填入表1—5中。ABC000011101110表1—574LS86邏輯功能表五、實驗總結(jié)實驗前應(yīng)檢查集成塊是否插對;實驗時要將電源電壓接入+5V。在這次實驗中,剛開始由于沒有將電源電壓接入面板上對應(yīng)的位子,只是按照管腳圖直接連接了電源線和地線,造成實驗箱上的燈不亮。同時發(fā)現(xiàn)由于有個別的發(fā)光二極管與導(dǎo)線會接觸不良,使發(fā)光二極管閃爍著,間歇性的亮,這時應(yīng)換一個發(fā)光二極管再進(jìn)行實驗。實驗二用小規(guī)模集成電路設(shè)計組合邏輯電路實驗?zāi)康?.掌握組合邏輯電路的特點;2.掌握小規(guī)模集成電路設(shè)計組合電路的方法;3.掌握電路故障檢測方法。實驗設(shè)備及器件1.數(shù)字電路實驗箱;2.74LS00/74LS11/74LS20/74LS86等芯片。實驗原理1.數(shù)字電路的兩大電路是組合邏輯電路和時序邏輯電路,其中組合邏輯電路的特點是任何時刻的輸出僅僅取決于同一時刻輸入信號的取值組合。2.用小規(guī)模集成電路設(shè)計組合邏輯電路的步驟為:=1\*GB2⑴分析設(shè)計要求,設(shè)置輸入和輸出變量;=2\*GB2⑵列出真值表;=3\*GB2⑶寫出邏輯表達(dá)式,并化簡;=4\*GB2⑷畫出邏輯電路圖。實驗內(nèi)容1.有一個火災(zāi)報警系統(tǒng),設(shè)有煙感、溫感和紫外線光感三種類型的火災(zāi)探測器。為了防止誤報警,只有當(dāng)其中有兩種或兩種以上類型的探測器發(fā)出火災(zāi)檢測信號時,報警系統(tǒng)才產(chǎn)生報警控制信號。試設(shè)計一個產(chǎn)生警報控制信號的電路并在實驗箱上驗證。設(shè)煙感、溫感和紫外線光感分別為A、B、C三中輸入,報警時輸出高電平"1",其報警信號為Y。真值表如下:輸入信號輸出信號ABCY00000010010001111000101111011111因為:Y=BC+AC+AB或Y=<<AB>’<AC>’<BC>’>’=<AB>’<AC>’+BC利用一個與非門〔74LS00和一個非門〔74LS02或利用一個與門〔74LS11與一個或門〔74LS02組成邏輯電路,其電路仿真圖如下:3.設(shè)計一個一位半加器,該邏輯電路能對兩個一位二進(jìn)制數(shù)進(jìn)行相加,并產(chǎn)生"和"及"進(jìn)位",在實驗箱上進(jìn)行驗證。輸入輸出ABSCO0000011010101101依題意列出真值表如下:因為:S=A’B+B’A=Aeq\o\ac<○,+>BCO=AB所以利用一個異或門一個與門組成邏輯電路,其電路仿真圖如下:五、實驗總結(jié)實驗注意事項1.注意集成電路多余端的處理;2.兩個集成芯片的連接注意電平是否匹配;3.小規(guī)模集成電路設(shè)計組合電路,盡量使用較少的門電路,盡量使用與非門,提高電路的負(fù)載能力和抗干擾能力。實驗三譯碼器及其應(yīng)用實驗?zāi)康模赫莆兆g碼器的測試方法,熟悉數(shù)碼管的使用;了解中規(guī)模集成譯碼器的原理,管腳分布,掌握其邏輯功能,以及譯碼顯示器電路的構(gòu)成原理;掌握用譯碼器構(gòu)成組合電路的方法和BCD-七段譯碼/驅(qū)動器的使用方法。學(xué)習(xí)譯碼器的擴展。實驗設(shè)備及其器件1、SAC-DM32數(shù)字電路實驗箱 1個2、74LS138 3-8線譯碼器 2片3、74LS20雙4輸入與非門 1片4、74LS47〔譯碼顯示器 1片5、共陽極七段數(shù)碼管 1個實驗原理中規(guī)模集成譯碼器74LS13874LS138是集成3線-8線譯碼器,在數(shù)字系統(tǒng)中應(yīng)用比較廣泛。圖3-1是其引腳排列。其中A2、A1、A0為地址輸入端,Y0`~Y7為譯碼輸出端,S1、S2、S3為使能端。表3-1為74LS138truthtable。74LS138工作原理為:當(dāng)S1=1,S2+S3=0時,電路完成譯碼功能,輸出低電平有效。其中:表3-1 74LS138真值表輸入輸出SA2A1A0Y0Y1Y2Y3Y4Y5Y6Y70×××11111111100001111111100110111111101011011111101111101111110011110111110111111011111011111101111111111110 圖3-1 74LS138 引腳 圖3-2 74LS138內(nèi)部電路圖譯碼器的應(yīng)用〔見實驗指導(dǎo)書P11-P12顯示譯碼管七段發(fā)光二極管〔LED數(shù)碼管LED數(shù)碼管是目前最常用的數(shù)字顯示器。以下是數(shù)字顯示器的介紹〔詳細(xì)見實驗指導(dǎo)書P12-P13:實驗內(nèi)容:譯碼器74Ls138邏輯功能測試〔一控制端功能測試測試電路如圖3-6所示。按表3-2所示條件輸入開關(guān)狀態(tài)。觀察并記錄譯碼器輸出狀態(tài)。LED指示燈亮為1,燈不亮為0。表3-2 74LS138控制端功能測試S1QUOTE2QUOTE3210012345670xxXxx11111111110101111XxxXxxXxx111111111111111111111111圖3-674LS138控制端功能測試電路〔二>邏輯功能測試將譯碼器使能端S1、QUOTE2、QUOTE3及地址段2、1、0分別接至邏輯電平開關(guān)輸出孔,八個輸出端7···0依次連接在了邏輯電平顯示器的八個輸入孔上,撥動邏輯電平開關(guān),按表3-3逐項測試74LS138的邏輯功能。表3-3 74LS138的邏輯功能測試輸入輸出S1QUOTE2+QUOTE321001234567100000111111110001101111111001011011111100111110111110100111101111010111111011101101111110110111111111100XXXX11111111X1XXX11111111用74LS138實現(xiàn)邏輯功能Y=AB+BC+AC如果設(shè)A2=A,A1=B、A0=C,則函數(shù)Y的邏輯圖如3-7所示。圖3-7用74LS138組成函數(shù)Y用74LS138和74LS20各一塊在實驗箱上連接圖3-7線路,并將測試結(jié)果記錄表3-4中。其實驗電路如下:ABCY00000010010001111000101111011111表3-4 函數(shù)功能測試試用一片74LS138和一片74LS20實現(xiàn)全加器功能,自擬電路圖如下:其真值表如下所示:輸入輸出CIABSC00001000110010010110110010101011100111111實驗總結(jié)1.注意集成電路輸入控制端和輸出控制端的信號;2.74LS138集成塊搭接中注意輸出信號的輸出;3.注意74LS47控制端的信號;4.顯示器管腳與譯碼器的對應(yīng)關(guān)系。實驗四數(shù)據(jù)選擇器及其應(yīng)用實驗?zāi)康膶W(xué)習(xí)數(shù)據(jù)選擇器邏輯功能測試方法;了解中規(guī)模集成數(shù)據(jù)選擇器的功能、管腳排列,掌握其邏輯功能;熟悉利用數(shù)據(jù)選擇器構(gòu)成任意邏輯函數(shù)的方法;了解數(shù)據(jù)選擇器的擴展方法。實驗設(shè)備及其器件1、SAC-DM32數(shù)字電路實驗箱 1個2、74LS153 1個3、74LS32 1個4、74LS40 1片實驗原理數(shù)據(jù)選擇器,也稱為多路選擇器,其作用相當(dāng)于多路開關(guān),如圖4-1所示?!睞1、A0D0D1D2D3圖4-2 雙四選一數(shù)據(jù)選擇器內(nèi)部結(jié)構(gòu)1.雙四選一數(shù)據(jù)選擇器74LS153所謂雙4選1數(shù)據(jù)選擇器就是在集成芯片上有兩個4選1數(shù)據(jù)選擇器。雙4選1數(shù)據(jù)選擇器內(nèi)部結(jié)構(gòu)如圖4-2所示,引腳排列如圖4-3,功能表如圖4-1。圖4-3 74LS153引腳功能1s'、2s'為兩個獨立的使能端;A1、A0為公用的地址輸入端;1D0~1D3和2D0~2D3分別為兩個4選1數(shù)據(jù)選擇器的數(shù)據(jù)使能端;Q1、Q2為兩個輸出端。 1當(dāng)使能端1〔2=1時,多路開關(guān)被禁止,無輸出,Q=0。 2但使能端1〔2=0時,多路開關(guān)正常工作,根據(jù)地址碼A1、A0狀態(tài),將相應(yīng)的數(shù)據(jù)D0~D3送到輸出端。 該電路的表達(dá)式為:Y=〔A1`A0`D0+A1`AOD1+A1A0`D2+A1A0D3S`表4-1輸入輸出SA1A0DY0XXX100D0101D1110D3111D4OD0D1D3D42、數(shù)據(jù)選擇器的應(yīng)用—實現(xiàn)邏輯函數(shù)用數(shù)據(jù)選擇器實現(xiàn)邏輯函數(shù),方法與譯碼器相似,只是將出現(xiàn)的最小項對應(yīng)的數(shù)據(jù)端接入高電平,未出現(xiàn)的接低電平,將地址端作為自變量的輸入端,則可以實現(xiàn)。實驗內(nèi)容測試雙四選一數(shù)據(jù)選擇器的邏輯功能。按圖4-4在實驗箱上接線,利用開關(guān)74LS153功能表逐項進(jìn)行測試,觀察輸出結(jié)果并記錄于表4-2中。實驗仿真圖如下:表4-2輸入輸出S’A1A0DY1XXX0000D0D0001D1D1010D2D2011D3D32.用4選1數(shù)據(jù)選擇器實現(xiàn)函數(shù)F=A'BC+AB'C+ABC'+ABC函數(shù)F有三個輸入變量A,B,C,而數(shù)據(jù)選擇器有兩個地址端A1,A0少于函數(shù)輸入變量個數(shù),在設(shè)計時可任選A接A1,B接A0,74LS153的表達(dá)式〔或功能表與函數(shù)F對照,得出:D0=0,D1=D2=C,D3=1接線圖如圖4-5所示,實驗仿真圖如下:測試并記錄結(jié)果在表4-3.表4-3輸入輸出ABCF00000010010001111000101111011111五、實驗總結(jié)1.注意74LS153控制端的信號。2.了解數(shù)據(jù)擇器擴展時所用門電路的類型。實驗五觸發(fā)器實驗?zāi)康模赫莆栈綬S觸發(fā)器、JK觸發(fā)器、D觸發(fā)器和T觸發(fā)器的邏輯功能。熟悉各觸發(fā)器的邏輯功能及相互轉(zhuǎn)換方法。實驗儀器1、SAC-DM32數(shù)字電路實驗箱 1個2、74LS00〔四2與非門 1個3、74LS112〔雙JK觸發(fā)器 1個4、74LS74〔雙D觸發(fā)器 1個實驗原理觸發(fā)器是具有記憶功能的二進(jìn)制信息存貯器件,是時序邏輯電路的基本單元之一。觸發(fā)器按功能分可分RS、JK、D、T觸發(fā)器;按電路觸發(fā)方式可分為電平觸發(fā)和邊沿觸發(fā)器兩大類。圖5-1所示電路由兩個"與非"門交叉耦合而成的基本Rs觸發(fā)器,它是無時鐘控制低電平自家觸發(fā)的觸發(fā)器,有直接置位、復(fù)位的功能,是組成各種功能觸發(fā)器的最基本單元?;綬S觸發(fā)器也可以用兩個"或非"門組成,它是高電平直接觸發(fā)的觸發(fā)器。圖5-1RS觸發(fā)器 圖5-2 JK觸發(fā)器JK觸發(fā)器是一種邏輯功能完善,通用性強的集成觸發(fā)器。在結(jié)構(gòu)上可分為主從型JK觸發(fā)器和邊沿型Jk觸發(fā)器。在產(chǎn)品中應(yīng)用較多的是下降沿觸發(fā)的邊沿型JK觸發(fā)器。JK觸發(fā)器的邏輯符號如圖5-2所示。它有三種不同功能的輸入端,第一種是直接置位、復(fù)位輸入端,用R’和S’表示。在S’=0,R’=1或R’=0,S’=1時,觸發(fā)器不受其它輸入端狀態(tài)影響,使觸發(fā)器強迫置"1"〔或置"0",當(dāng)不強迫"1"〔或置"0"時,S’、R’都應(yīng)置高電平。第二種是時鐘脈沖輸入端,用來控制觸發(fā)器翻轉(zhuǎn)〔或稱作狀態(tài)更新,用CP表示〔在國家標(biāo)準(zhǔn)符號中稱作控制輸入端,用C表示,邏輯符號中CP端處若有小圓圈,則表示觸發(fā)器在時鐘脈沖下降沿〔或負(fù)邊沿發(fā)成翻轉(zhuǎn),如無小圓圈,這表示觸發(fā)器在時鐘脈沖上升沿〔或正邊沿發(fā)生翻轉(zhuǎn)。第三種是數(shù)據(jù)輸入端,它是觸發(fā)器狀態(tài)更新的一句,用J、K表示。JK觸發(fā)器的狀態(tài)方程為Qn+1=JQn’+K’Qn本實驗采用74LS112型雙JK觸發(fā)器器,是下降邊沿觸發(fā)的邊沿觸發(fā)器,引腳排列如圖5-3所示。表5-1為其功能表。圖5-3 74LS112引腳排列圖D觸發(fā)器是另一種使用廣泛的觸發(fā)器,它的基本結(jié)構(gòu)多為維阻型。D觸發(fā)器的邏輯符號如圖5-4所示。D觸發(fā)器是在Cp脈沖上升沿觸發(fā)翻轉(zhuǎn),觸發(fā)器的狀態(tài)取決于CP脈沖到來之前D端大的3狀態(tài),狀態(tài)方程為Qn+1=D本實驗采用74LS74型雙D觸發(fā)器,是上升邊沿觸發(fā)的邊沿觸發(fā)器,引腳排列如圖5-5所示。表5-2為其功能表。圖5-5不同類型的觸發(fā)器對時鐘信號和數(shù)據(jù)信號的要求各不相同,一般說來,邊沿觸發(fā)器要求數(shù)據(jù)信號超前于觸發(fā)器邊沿一段時間出現(xiàn)〔稱之為建立時間,并且要求在邊沿到來后繼續(xù)維持一段時間〔稱之為保持時間。對于觸發(fā)邊沿陡度也有一定要求〔通常要求<100ns。主從觸發(fā)器對上述參數(shù)要求不高,但要求在CP=1期間,外加的數(shù)據(jù)信號不容許發(fā)生變化,否則將導(dǎo)致觸發(fā)器錯誤輸出。在集成觸發(fā)器的產(chǎn)品中,雖然每一種觸發(fā)器都有固定的邏輯功能,但可以利用轉(zhuǎn)換的方法得到其它功能的觸發(fā)器。如果把JK觸發(fā)器的JK端連接在一起〔稱為T端就構(gòu)成了T觸發(fā)器,狀態(tài)方程為Qn+1=T’Qn+TQn’在CP脈沖作用下,當(dāng)T=0時Qn+1=Qn。工作在T=1時的觸發(fā)器稱為T’觸發(fā)器。T和T’觸發(fā)器廣泛應(yīng)用于計算電路中。值得注意的是轉(zhuǎn)換后的觸發(fā)器其觸發(fā)方式仍不變。了解觸發(fā)器間的相互轉(zhuǎn)換可以在實際邏輯電路的設(shè)計和應(yīng)用中更充分得到的利用各類觸發(fā)器,同時也有助于更深入的理解和掌握各類觸發(fā)器的特點與區(qū)別。四、 實驗內(nèi)容1.測試基本Rs觸發(fā)器的路基功能按圖5-1與非門74L00構(gòu)成基本RS觸發(fā)器。輸入端R’、S’按接邏輯開關(guān),輸出端Q、Q’接電平指示器,按表5-3要求測試邏輯功能。仿真圖如下:表5-3R’S’Q功能Qn=0Qn=10011不確定0110置01001置11101保持2、測試雙JK觸發(fā)器74LS112邏輯功能〔1測試RD’、SD’的復(fù)位、置位功能任取一只JK觸發(fā)器,RD’、SD’、J、K端接邏輯開關(guān),CP端接單次脈沖源,Q、Q’端接電平指示器,按表5-4要求改變RD’、SD’〔J、K、CP出于任意狀態(tài),并在RD’=0〔SD’=1或SD’=0〔RD’=1作用期間任意改變J、K及CP的狀態(tài),觀察Q、Q’狀態(tài),記錄。仿真圖如下:表5-4輸入輸出功能CPJKRD’SD’QQ’XXX0011不確定XXX0101置1XXX1010置0〔2測試JK觸發(fā)器的邏輯功能按表5-5要求改變J、KCP端狀態(tài),觀察Q、Q’狀態(tài)變化,觀察觸發(fā)器狀態(tài)更新時候發(fā)生在CP脈沖的下降沿〔既CP由1→0。記錄。表5-5RD’SD’JKCPQn+1功能Qn=0Qn=111000→100保持1→00011010→101置01→00011100→101置11→01111110→101翻轉(zhuǎn)1→0104、測試雙D觸發(fā)器74LS74的邏輯功能 〔1測試RD’、SD’的復(fù)位、置位功能 按表5—6要求改變RD’、SD’〔D、CP處于任意狀態(tài),并在RD’=0〔SD’=1或SD’=0〔RD’=1作用期間任意改變J、K及CP的狀態(tài),觀察Q、Q’狀態(tài),記錄。 〔2測試D觸發(fā)器的羅京 按表5-7要求進(jìn)行測試,并觀察觸發(fā)器狀態(tài)的更新是否發(fā)生在CP脈沖的上升沿〔既由0→1,記錄。仿真圖如下:表5-6輸入輸出功能CPDRD’SD’QQ’xx0011保持xx0101翻轉(zhuǎn)xx1010表5-7RD’SD’DCPQn+1功能Qn=0Qn=01100→101保持1→0011110→1101→010五、 實驗結(jié)論1.通過本次實驗了解了各類型觸發(fā)器的邏輯功能。2.通過實驗熟悉了Multisim的使用。3.對各類觸發(fā)器的轉(zhuǎn)換方法有了了解。實驗六寄存器功能測試及應(yīng)用實驗?zāi)康模赫莆罩幸?guī)模4位雙向移位寄存器邏輯功能及使用方法;熟悉移位寄存器的應(yīng)用——實現(xiàn)數(shù)據(jù)的串行、并行轉(zhuǎn)換和構(gòu)成環(huán)形計數(shù)器。實驗設(shè)備及器件:SAC-DM32數(shù)字電路實驗箱 1個74LS74 雙D觸發(fā)器 2片74LS04 六方向器 1片74LS194 四位雙向通用移位寄存器 1片 實驗原理:移位寄存器是一個具有移位功能的寄存器,是指寄存器中所存的代碼能夠在移位脈沖的作用下一次左移或右移。既能左移又能右移的稱為雙向移位寄存器,只需要改變左、右的控制信號便可實現(xiàn)雙向移位要求。根據(jù)移位寄存器存取信息的方式不同分為:串入串出、串入并出、并入串出、并入并出四種形式。本實驗選用的4位雙向通用移位寄存器,型號為CC40194或74LS194,兩者功能相同,可相互使用,其引腳排列及功能表如圖6-1所示。其中D0、D1、D2、D3為并行輸入端;Q0、Q1、Q2、Q3為并行輸出端;SR為右移串行輸入端,SL為左移串行輸入端;S1、S0為操作模式控制端;CR為直接無條件清零端;CP為時鐘脈沖輸入端。74LS194有5種不同操作模式:即并行送數(shù)寄存,右移〔方向Q0→Q3,左移〔方向由Q3→Q0,保持及清零。S1、S0和CR端的控制作用如表6-1.表6-1功能輸入輸出CPCR’S1S0SRSLD0、D1D2D3Q0Q1Q2Q3清除×0××××××××0000送數(shù)↑111××abcdabcd右移↑101DSR×××××DSRQ0Q1Q2左移↑110×DSL××××Q1Q2Q3DSL保持↑100××××××Q0nQ1nQ2nQ3n保持↓1××××××××Q0nQ1nQ2nQ3n實驗內(nèi)容利用兩塊74LS74〔兩個D觸發(fā)器構(gòu)成一個單向的移位寄存器?!?參照圖6-5搭接電路,觀察并記錄結(jié)果于表6-5。仿真圖如下:表6-5CP↑RD’SD’D1Q1Q2Q3Q4001×00001111100021111100311111104111111151100000611000007110000081100000〔2在D1端串行輸入二進(jìn)制數(shù)0001后,將D1和D4相連,構(gòu)成右移循環(huán)計數(shù)器,在CP脈沖作用下,觀察右移循環(huán)功能,將實驗結(jié)果記錄表6-6中。表6-6CP↑D1RD’SD’Q1Q2Q3Q40×010000111110002011010030110010401100015D1連D4111000611010071100108110001測試四位雙向移位寄存器的邏輯功能按圖6-6連線,CR’、S1、S0、SL、SR、D0、D1、D2、D3分別接至邏輯開關(guān)的輸出插口;Q0、Q1、Q2、Q3接至邏輯電平顯示輸出插口。CP端接單次脈沖源。按表6-7所規(guī)定的輸入狀態(tài),逐項進(jìn)行測試。清除:令CR'=0,其它輸入均為任意態(tài),這時寄存器輸出應(yīng)均為0.清除后,置CR'=1.〔2送數(shù):令,送入任意4位二進(jìn)制,如D0D1D2D3=0011,加CP脈沖,觀察CP=0、CP=由01、CP由10三種情況下寄存器輸出狀態(tài)的變化,觀察寄存器輸出狀態(tài)是否發(fā)生在CP脈沖的上升沿?!?右移:清零后,令CR'=1,S1=0,S0=1,由右移輸入端SR送入二進(jìn)制碼如0100,由CP端連續(xù)加4個脈沖,觀察輸出情況,記錄之?!?左移:先清零或預(yù)置,再令CR'=1,S1=1,S0=0,由左移輸入端SL送入二進(jìn)制碼如1111,連續(xù)加四個CP脈沖,觀察輸出端情況,記錄之。〔5保持:寄存器預(yù)置任意4位二進(jìn)制如D0D1D2D3=0011,令CR'=1,S1=S0=0,加CP脈沖,觀察寄存器輸出狀態(tài),記錄之。仿真圖如下:表6-7清除模式時鐘串行輸入輸出功能總結(jié)CR'S1S0CPSLSRD0D1D2D3Q0Q1Q2Q30×××××××××0000清零111↑××00110011保持101↑×0××××0000右移101↑×1××××1000右移101↑×0××××0100右移101↑×0××××0010右移110↑1×××××0001左移110↑1×××××0011左移110↑1×××××0111左移110↑1×××××1111左移100↑××××××0000保持五、實驗總結(jié)1.注意移位寄存器模式控制端的狀態(tài)。2.使用移位寄存器的時候呀注意左移和右移的連接方式。實驗七計數(shù)器邏輯功能測試及應(yīng)用實驗?zāi)康?.熟悉掌握中規(guī)模集成電路計數(shù)器74LS161和74LS90的邏輯功能,使用方法及應(yīng)用。2.掌握構(gòu)成任意進(jìn)制計數(shù)器的方法。實驗設(shè)備及器件數(shù)字邏輯電路實驗箱 1個74LS161同步加法二進(jìn)制計數(shù)器 1片74LS90異步加法二、五、十進(jìn)制計數(shù)器 1片74LS00二輸入四與非門 1片74LS74雙D觸發(fā)器 1片74LS11三輸入三與門 1片74LS47BCD碼七段譯碼器 2片實驗原理計數(shù)器是一個用以實現(xiàn)技術(shù)功能的時序部件,它不僅可用來計脈沖,還常用作數(shù)字系統(tǒng)的定時、分頻和執(zhí)行數(shù)字運算以及其它特定的邏輯功能。計數(shù)器種類很多。構(gòu)成計數(shù)器中的各觸發(fā)器是否使用一個時鐘脈沖源來分,有同步技術(shù)器和異步計數(shù)器。根據(jù)計數(shù)制的不同,分為二進(jìn)制計數(shù)器,十進(jìn)制計數(shù)器和任意進(jìn)制計數(shù)器。根據(jù)技術(shù)的增減趨勢,又分為加法、減法和可逆計數(shù)器。還有可預(yù)置數(shù)和可編程序功能計數(shù)器等等。目前,無論是TTL還是CMOS集成電路,都有品種比較齊全的中規(guī)模集成計數(shù)器。使用者只要借助于器件手冊提供的功能表和工作波形圖以及引出端的排列,就能正確地運用這些器件。利用中規(guī)模集成計數(shù)器構(gòu)成任意進(jìn)制計數(shù)器的方法歸納起來有乘數(shù)法、復(fù)位法、和置數(shù)法。乘數(shù)法將兩個計數(shù)器串接起來,即技術(shù)脈沖接到N進(jìn)制計數(shù)器的時鐘輸入端,N進(jìn)制計數(shù)器的輸出接到M進(jìn)制計數(shù)器的時鐘輸入端,則兩個計數(shù)器一起構(gòu)成了NxM進(jìn)制計數(shù)器。74LS90就是典型的例子,二進(jìn)制和五進(jìn)制和五進(jìn)制計數(shù)器構(gòu)成2X5=10進(jìn)制計數(shù)器。復(fù)位法用復(fù)位法構(gòu)成N進(jìn)制計數(shù)器所選用的中規(guī)模集成技術(shù)器的計數(shù)容量必須大于N。當(dāng)輸入N個技術(shù)脈沖之后,計數(shù)器應(yīng)回到全0狀態(tài)。置零復(fù)位法:利用Cr=0時,Q3Q2Q1Q0=0000,使計數(shù)器回到全0狀態(tài)。預(yù)置端送0:使計數(shù)器數(shù)據(jù)輸入全0,當(dāng)?shù)贜—1個計數(shù)脈沖到達(dá)后,讓預(yù)置端LD=0.當(dāng)?shù)贜個計數(shù)脈沖到來時Q3Q2Q1Q0=0000,使計數(shù)器回到全0狀態(tài)。預(yù)置法置數(shù)法即對計數(shù)器進(jìn)行預(yù)置數(shù)。在計數(shù)器到最大數(shù)時,置入計數(shù)器狀態(tài)轉(zhuǎn)換圖中的最小數(shù),作為計數(shù)循環(huán)的起點;可以之愛計數(shù)到達(dá)某個數(shù)之后,置入最大數(shù),然后接著從0開始計數(shù)。如果用N進(jìn)制計數(shù)器構(gòu)成M進(jìn)制計數(shù)器,需要跳過〔N-M個狀態(tài),或在N進(jìn)制計數(shù)器計數(shù)長度中間跳過〔N-M個狀態(tài)。中規(guī)模同步二進(jìn)制計數(shù)器74LS161中規(guī)模異步集成計數(shù)器74LS90集成計數(shù)器74LS90是二-五-十進(jìn)制計數(shù)器,其管腳排列如圖7-2,功能表如表7-2.實驗內(nèi)容用清零法將74LS161構(gòu)成一個十進(jìn)制計數(shù)器,并用數(shù)碼管顯示數(shù)字。參考圖7-3搭接電路,其狀態(tài)轉(zhuǎn)換圖如圖7-4仿真圖如下:2.用74LS161芯片構(gòu)成七進(jìn)制計數(shù)器,采用置數(shù)法,并用數(shù)碼顯示數(shù)字。參考圖7-5搭接電路,并畫出狀態(tài)轉(zhuǎn)換圖。仿真圖如下:6.用74LS90芯片構(gòu)成十進(jìn)制計數(shù)器參考圖7-7連接電路,并畫出狀態(tài)轉(zhuǎn)換圖。仿真圖如下:用置數(shù)法將74LS90構(gòu)成一個六進(jìn)制計數(shù)器。參考圖7-8連接電路,并畫出狀態(tài)轉(zhuǎn)換圖。仿真圖如下:五、實驗總結(jié)1.實驗中應(yīng)該注意集成塊功能端有效的狀態(tài)。2.實現(xiàn)其他進(jìn)制計數(shù)器的時候要注意中斷狀態(tài)和反饋線的處理。實驗八555定時器實驗?zāi)康模菏煜ざ〞r器的工作原理;熟悉555定時器的典型應(yīng)用;了解定時元件對輸出信號周期及脈沖寬度的影響。實驗設(shè)備及其器件1、SAC-DM32數(shù)字電路實驗箱 1個2、555定時器、電阻、電容3、雙蹤示波器 1臺4、萬用表 1臺5、連續(xù)脈沖電源 1臺6、音頻信號源 1臺7、數(shù)字頻率計 1臺實驗原理555集成時基電路稱為集成定時器,是一種數(shù)字、模擬混合型的中規(guī)模集成電路,其應(yīng)用十分廣泛。該電路使用靈活、方便,只需外接少量的阻容元件就可以構(gòu)成單穩(wěn)、多諧和施密特觸發(fā)器,因而廣泛用于信號的產(chǎn)生、變換、控制與檢測。它的內(nèi)部電壓標(biāo)準(zhǔn)使用了三個5K的電阻,故取名555電路。其電路類型有雙極型和CMOS型兩大類,兩者的工作原理和結(jié)構(gòu)相似。幾乎所有的雙極型產(chǎn)品型號最后的三位數(shù)碼都是555或556;所有的CMOS產(chǎn)品型號最后四位數(shù)碼都是7555或7556,兩者的邏輯功能和引腳排列完全相同,易于互換。555和7555是單定時器,556和7556是雙定時器。雙極型的電壓是+5V~+15V,輸出的最大電流可達(dá)200mA,CMOS型的電源電壓是+3V~+18V。圖8-1555定時器內(nèi)部框圖555電路的工作原理555電路的內(nèi)部電路方框圖如圖8-1所示。它含有兩個電壓比較器,一個基本RS觸發(fā)器,一個放電開關(guān)T,比較器的參考電壓由三只5KΩ的電阻器構(gòu)成分壓,它們分別使高電平比較器A1同相比較端和低電平比較器A2的反相輸入端的參考電平為和。A1和A2的輸出端控制RS觸發(fā)器狀態(tài)和放電管開關(guān)狀態(tài)。當(dāng)輸入信號輸入并超過時,觸發(fā)器復(fù)位,555的輸出端3腳輸出低電平,同時放電,開關(guān)管導(dǎo)通;當(dāng)輸入信號自2腳輸入并低于時,觸發(fā)器置位,555的3腳輸出高電平,同時放電,開關(guān)管截止。是復(fù)位端,當(dāng)其為0時,555輸出低電平。平時該端開路或接VCC。Vc是控制電壓端〔5腳,平時輸出作為比較器A1的參考電平,當(dāng)5腳外接一個輸入電壓,即改變了比較器的參考電平,從而實現(xiàn)對輸出的另一種控制,在不接外加電壓時,通常接一個0.01uf的電容器到地,起濾波作用,以消除外來的干擾,以確保參考電平的穩(wěn)定。T為放電管,當(dāng)T導(dǎo)通時,將給接于腳7的電容器提供低阻放電電路。555定時器的典型應(yīng)用〔1構(gòu)成單穩(wěn)態(tài)觸發(fā)器圖8-2555構(gòu)成單穩(wěn)態(tài)觸發(fā)器上圖8-2為由555定時器和外接定時元件R、C構(gòu)成的單穩(wěn)態(tài)觸發(fā)器。D為鉗位二極管,穩(wěn)態(tài)時555電路輸入端處于電源電平,內(nèi)部放電開關(guān)管T導(dǎo)通,輸出端Vo輸出低電平,當(dāng)有一個外部負(fù)脈沖觸發(fā)信號加到Vi端。并使2端電位瞬時低于,低電平比較器動作,單穩(wěn)態(tài)電路即開始一個穩(wěn)態(tài)過程,電容C開始充電,Vc按指數(shù)規(guī)律增長。當(dāng)Vc充電到時,高電平比較器動作,比較器A1翻轉(zhuǎn),輸出Vo從高電平返回低電平,放電開關(guān)管T重新導(dǎo)通,電容C上的電荷很快經(jīng)放電開關(guān)管放電,暫態(tài)結(jié)束,恢復(fù)穩(wěn)定,為下個觸發(fā)脈沖的來到作好準(zhǔn)備。波形圖見圖8-3。圖8-3單穩(wěn)態(tài)觸發(fā)器波形圖暫穩(wěn)態(tài)的持續(xù)時間Tw〔即為延時時間決定于外接元件R、C的大小

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論