C4.2 顯示接口技術(shù)_第1頁
C4.2 顯示接口技術(shù)_第2頁
C4.2 顯示接口技術(shù)_第3頁
C4.2 顯示接口技術(shù)_第4頁
C4.2 顯示接口技術(shù)_第5頁
已閱讀5頁,還剩93頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

4.2顯示接口技術(shù)4.2LED顯示接口技術(shù)LED數(shù)碼管工作原理LED靜態(tài)顯示接口技術(shù)LED動態(tài)顯示接口技術(shù)4.2.1LED數(shù)碼管工作原理LED顯示器的結(jié)構(gòu)與原理LED顯示器的譯碼方式LED顯示器的顯示方法1.LED顯示器結(jié)構(gòu)及原理

LED(發(fā)光二極管LightEmittingDiode的英文縮寫)是利用PN結(jié)把電能轉(zhuǎn)換成光能的固體發(fā)光器件,根據(jù)制造材料的不同可以發(fā)出紅、黃、綠、白等不同色彩的可見光。LED的伏安特性類似于普通二極管,正向壓降約為2伏左右,工作電流一般在10mA左右。7段LED數(shù)碼管的實(shí)物圖米字管的實(shí)物圖符號管的實(shí)物圖點(diǎn)陣式LED顯示屏每點(diǎn)采用1紅1綠兩個LED燈,可實(shí)現(xiàn)兩色顯示;109876

12345fe

a

g

dbcdp

gf

abcdp

decomcom

7段LED數(shù)碼管的結(jié)構(gòu)引腳圖Anode正極Cathode負(fù)極共陽極CA共陰極CC共陰共陽極DDDDDDDD01234567COMabcdefgdp數(shù)字共陰極共陽極段碼(十六進(jìn)制)01234567893F065B4F666D7D077F6FC0F9A4B0999282F88090

f

e

a

g

dbcdpLED數(shù)碼管段碼表數(shù)dpgfedcba共陰段碼dpgfedcba共陽段碼0001111113FH110000000C0H10000011006H111110010F9H2010110115BH101001000A4H3010011114FH101100000B0H40110011066H1001100199H5011010116DH1001001092H6011110117DH1000001082H70000011107H111110000F8H8011111117FH1000000080H9011011116FH1001000090H數(shù)碼管和單片機(jī)的理論連接(1)單片機(jī)P2.0P2.7+5v或GND+5v或GNDP0.0P0.7動態(tài)連接數(shù)碼管和單片機(jī)的實(shí)際連接(1)MCUP2.0P2.7+5v或GND+5v或GNDP0.0P0.7要考慮IO口的驅(qū)動能力總線驅(qū)動74LS2457段數(shù)碼管+5v實(shí)例:單個7段數(shù)碼管的顯示控制功能:控制8個led燈的亮滅,以及一個7段數(shù)碼管的數(shù)字顯示。說明:用P0口傳送顯示數(shù)據(jù),P2.0位控制7端數(shù)碼管是否顯示。Class2

測試板LED數(shù)碼管和單片機(jī)的連接(2)單片機(jī)P2.0P2.7P0.0P0.1P0.2P0.3逐位掃描2.譯碼方式

BCD碼或十六進(jìn)制碼-顯示代碼的轉(zhuǎn)換方式軟件譯碼:利用軟件查表法實(shí)現(xiàn)。硬件譯碼:利用硬件譯碼器(芯片)代替軟件實(shí)現(xiàn)代碼的轉(zhuǎn)換。

BCD碼4線—7段譯碼器/驅(qū)動器:74LS47用于共陽極數(shù)碼管;74LS49用于共陰極數(shù)碼管;鎖存器/譯碼器/驅(qū)動器:MC14513、MC14494等。鎖存器/譯碼器/驅(qū)動器,并能同時顯示8位:ICM7218。74LS47

4線BCD碼轉(zhuǎn)7段數(shù)碼管,具有譯碼器和驅(qū)動器的功能,適用共陽極數(shù)碼管。25mABI/RBO

LT:試燈輸入,當(dāng)LT=0時,無論輸入A,B,C,D為何種狀態(tài),譯碼器輸出均為低電平,若驅(qū)動的數(shù)碼管正常,則顯示8;BI:靜態(tài)滅燈輸入,控制多位數(shù)碼顯示的滅燈所設(shè)置。BI=0時,不論LT和輸入A,B,C,D為何種狀態(tài),譯碼器輸出均為高電平,使共陽極7段數(shù)碼管熄滅;RBI:動態(tài)滅燈輸入,當(dāng)LT=1,RBI=0時,若A=B=C=D=0時,數(shù)碼管不顯示0,而是使譯碼器輸出全1;RBO:滅零輸出端,和RBI共用來消去不需要的零;74LS245三態(tài)輸出的八組總線收發(fā)器,A、B為總線端,G為三態(tài)允許端,DIR方向控制端。GDIR工作狀態(tài)LLB數(shù)據(jù)至A總線LHA數(shù)據(jù)至B總線HX隔離GND4.2.2LED數(shù)碼管顯示方法LED數(shù)碼管顯示有兩種方法:靜態(tài)顯示動態(tài)顯示a.動態(tài)顯示動態(tài)顯示接口電路把所有LED顯示器的8段a-h同名端連在一起,而每一個數(shù)碼管的公共極COM是各自獨(dú)立地受I/O線控制。顯示方式CPU向字段輸出口送出字形碼時,所有顯示器接收到相同的字形碼,顯示器是否亮由COM端決定,COM端由I/O控制的。動態(tài)掃描是指采用分時方法輪流控制各個顯示器的COM端,使各個顯示器輪流點(diǎn)亮。b.靜態(tài)顯示

CPU對每位數(shù)碼管輸出一次顯示代碼后,數(shù)碼管能夠保持該顯示結(jié)果,直到下次CPU輸出新的顯示代碼為止。因此每一個數(shù)碼管需要一個鎖存器鎖存顯示代碼。1LED靜態(tài)顯示接口技術(shù)a、相關(guān)芯片介紹b、軟件譯碼顯示接口電路c、硬件譯碼顯示接口電路

74LS377

8D邊沿觸發(fā)器,E端和CLK端是控制端,E端為低電平時只要在CLK端產(chǎn)生一個正跳變,D0~D7將被鎖存,并輸出到Q0~Q7端。在其它情況下,Q0~Q7端的輸出保持不變。74LS3738D鎖存器,三態(tài)總線驅(qū)動輸出;LS373的八個鎖存器都是D型鎖存器,OE為三態(tài)運(yùn)行控制端,當(dāng)鎖存使能端LE為高時,輸出端將隨輸入數(shù)據(jù)而變。當(dāng)LE=0時,輸出保持不變。OELEO74LS138

3-8譯碼器4位LED靜態(tài)顯示軟件譯碼電路BCAY0Y174LS138Y2Y3S1S2S3&&&174LS37774LS37774LS37774LS377P0.7~0.0ALE8051WR74LS373P2.7~2.074LS244A0~A2A3~A5A6~A7A8~A92344位LED靜態(tài)顯示硬件譯碼接口電路74LS244高74LS377低高74LS377低74LS4774LS4774LS4774LS47+5vBCAY0Y174LS138S1S2S3&&&A0~A2A3~A5A6~A7WRA8~A91234練習(xí)1:7段LED的靜態(tài)顯示實(shí)現(xiàn)用74LS47、74LS373以及74LS245實(shí)現(xiàn)4位7段LED數(shù)碼管的靜態(tài)顯示功能,四個數(shù)碼管同時顯示,相鄰數(shù)碼管顯示數(shù)字相差1,并不斷增加,從0到9,然后歸0。要求在顯示過程中能夠暫停顯示,暫停結(jié)束后接著停止時的數(shù)字顯示。Opencode2_staticled2LED動態(tài)顯示接口技術(shù)控制基本原理--視覺暫留原理

CPU定時(小于20ms)對LED顯示器每位數(shù)碼管輸出顯示代碼,每個數(shù)碼管分時工作(大于1ms)。雖然每次只有一個數(shù)碼管顯示,但由于人視覺的暫留效應(yīng),仍感覺到所有的數(shù)碼管都在顯示。4位LED動態(tài)顯示電路BCAY0Y174LS138Y2Y3E1E2E31P0.0~0.7MCUP2.5~2.774LS245P2.0~2.2234動態(tài)顯示實(shí)現(xiàn)功能同練習(xí)1,但采用動態(tài)顯示完成。4個7段數(shù)碼管的顯示控制,4個數(shù)碼管同時啟動,程序啟動時顯示“0123”,每個1s,各位同時加1,即顯示“1234”、“2345”、…、“9012”,數(shù)字超過9則回到0。Class44.2.3點(diǎn)陣LED

顯示方法及接口技術(shù)點(diǎn)陣LEDMATRIX-8×8列號行號仿真器件:MATRIX-8×8點(diǎn)陣LEDProteus中有四種不同顏色的8×8點(diǎn)陣LED。其中:紅色的為上列選下行選,其它顏色的為上行選下列選。所有的點(diǎn)陣都是高電平選中列,低電平選中行。即如果某一個點(diǎn)所處的列信號為高,行信號為低,則該點(diǎn)被點(diǎn)亮。列行列行實(shí)例:點(diǎn)陣LED測試1仿真采用藍(lán)色點(diǎn)陣LED,P0口控制行選,P1口控制列選,P2.5口控制行列切換。動作:系統(tǒng)啟動,P2.5口為高電平,點(diǎn)陣LED全亮,然后從下至上每行亮,如果P2.5口置低,則切換至從左到右每列亮;例程:Class6_matrixLED實(shí)例:點(diǎn)陣LED測試2仿真采用藍(lán)色點(diǎn)陣LED,電路設(shè)計(jì)與測試1完全相同,學(xué)習(xí)利用動態(tài)掃描進(jìn)行點(diǎn)陣LED的圖形顯示。動作:系統(tǒng)啟動,顯示上箭頭;字模軟件的使用;例程:Class7_matrixLEDIMG實(shí)例:點(diǎn)陣LED測試3仿真采用藍(lán)色點(diǎn)陣LED,電路設(shè)計(jì)與測試1完全相同,學(xué)習(xí)點(diǎn)陣LED的圖形滾動顯示方法。動作:系統(tǒng)啟動,由右向左滾動顯示XATU;例程:Class8_matrixled動手練習(xí):MATRIX8*8

實(shí)現(xiàn)數(shù)字、圖形顯示實(shí)現(xiàn)功能

用AT89C51、MATRIX8*8實(shí)現(xiàn)以下功能:1、顯示數(shù)字0、4、6;2、顯示左箭頭;3、由按鈕控制各個顯示內(nèi)容的更替;各數(shù)字、圖形的顯示實(shí)現(xiàn)方法4.2.4LCD圖形點(diǎn)陣液晶顯示器

LCD16022行16個字符5×8點(diǎn)陣

LCD128648行128個字符液晶顯示屏是由若干個5×7或5×11點(diǎn)陣塊組成,每個點(diǎn)陣塊為一個字符位,字符間距和行距都是一個點(diǎn)的寬度;LCD1602低功耗、超薄、體積小,用于袖珍儀表及低功耗系統(tǒng);可顯示1行16個字符或2行16個字符,內(nèi)置字符發(fā)生存儲器,含192個不同的點(diǎn)陣字符圖形;顯示英文字符LCD1602仿真器件:LM016L序號管腳功能1VSS電源地2VDD電源正3VEE液晶顯示偏壓信號4RS數(shù)據(jù)/指令控制信號:1為數(shù)據(jù),0為指令5RW讀寫選擇端6E使能信號,讀操作時高電平有效,寫操作時下降沿有效LCD1602仿真器件:LM016L序號管腳功能7-14D0-D7數(shù)據(jù)總線實(shí)物有15、16引腳仿真器件無15BLA背光源正極16BLK背光源負(fù)極1602RAM地址映射圖寫操作>140ns>10ns<25ns>10ns>195ns>450ns>1000nsLCD1602指令說明

功能設(shè)置

功能設(shè)置用于設(shè)置數(shù)據(jù)接口寬度以及LCD顯示屏的顯示方式,應(yīng)放在其他設(shè)置之前;RSRWD7D6D5D4D3D2D1D000001DLNF**DL數(shù)據(jù)接口寬度標(biāo)志DL=18位DL=04位DB4-DB7N:字符行數(shù)標(biāo)志F:顯示字符點(diǎn)陣字體標(biāo)志NF顯示行數(shù)字符點(diǎn)陣字體0015×80115×101*25×8讀忙標(biāo)志BF和ACE高電平下,BF和AC0-AC6被讀入數(shù)據(jù)總線;RSRWD7D6D5D4D3D2D1D001BFAC6AC5AC4AC3AC2AC1AC0BF內(nèi)部忙標(biāo)志BF=1模塊進(jìn)行內(nèi)部操作,不接受任何外部指令及數(shù)據(jù)BF=0空閑AC0-AC6:地址計(jì)數(shù)器AC的當(dāng)前內(nèi)容地址計(jì)數(shù)器AC被CGROM、CGRAM、DDRAM公用,因此AC內(nèi)容所指區(qū)域由前一條指令操作區(qū)域決定;只有BF=0時,讀取的AC內(nèi)容有效;顯示開/關(guān)控制

設(shè)置1602是否顯示;RSRWD7D6D5D4D3D2D1D00000001DCBD顯示開/關(guān)控制標(biāo)志D=1開顯示;D=0關(guān)顯示注意:關(guān)顯示后,顯示數(shù)據(jù)仍然保持在DDRAM中;C光標(biāo)顯示控制標(biāo)志C=1光標(biāo)顯示;C=0光標(biāo)不顯示顯示5×8點(diǎn)陣字符時,光標(biāo)在第8行顯示;5×10點(diǎn)陣字符,光標(biāo)在第11行顯示;光標(biāo)不影響其他顯示功能B閃爍顯示控制標(biāo)志

B=0不閃爍;B=1光標(biāo)在所指位置交替顯示全黑點(diǎn)陣和顯示字符,頻率0.4ms;輸入方式設(shè)置

設(shè)置顯示、光標(biāo)移動方向和方式;RSRWD7D6D5D4D3D2D1D000000001I/DSI/D=1讀寫字符后,地址指針加1;I/D=0讀寫字符后,地址指針減1;S=1數(shù)據(jù)讀寫操作后,屏幕平移;S=0數(shù)據(jù)讀寫操作后,屏幕不動;光標(biāo)、屏幕位移設(shè)置

設(shè)置屏幕、光標(biāo)移動方式,不影響DDRAM;RSRWD7D6D5D4D3D2D1D0000001S/CR/L**S/C=1屏幕畫面平移一個字符位;S/C=0光標(biāo)平移一個字符位;R/L=1向右移動;R/L=0向左移動;清屏

清顯示指令將空位字符碼20H送入全部DDRAM地址中,使DDRAM中的內(nèi)容全部清除,顯示消失;此時,地址計(jì)數(shù)器AC=0,自動增1模式;顯示歸位,光標(biāo)或閃爍回到原點(diǎn),顯示屏左上角;該命令不改變移位設(shè)置模式;RSRWD7D6D5D4D3D2D1D00000000001光標(biāo)復(fù)位光標(biāo)返回到地址00H;RSRWD7D6D5D4D3D2D1D0000000001*實(shí)例:1602靜態(tài)顯示字符1功能:用P0口、或P1口為1602送數(shù)據(jù),P2.0-P2.1位作為控制線,單行靜態(tài)顯示字符“A”;學(xué)習(xí)寫指令、寫數(shù)據(jù)時序,送字符、指定顯示位置的方法;Class9_LCD1602static實(shí)例:1602靜態(tài)顯示字符2功能:用P0口、或P1口為1602送數(shù)據(jù),P2.0-P2.1位作為控制線,靜態(tài)顯示字符;第一行顯示Proteus第二行顯示isausefultool學(xué)習(xí)顯示行控制方法;Class9_LCD1602static2實(shí)例:1602動態(tài)顯示1功能:用P0口、或P1口為1602送數(shù)據(jù),P2.0-P2.1位作為控制線,在第一行動態(tài)顯示箭頭,箭頭在第一行內(nèi)來回移動;學(xué)習(xí)動態(tài)顯示控制方法;Class9_LCD1602dynamic作業(yè)2:1602動態(tài)顯示2功能:用P0口、或P1口為1602送數(shù)據(jù),P2.0-P2.1位作為控制線,在2行的兩端同時顯示反方向箭頭,兩個箭頭向相反的方向移動,到末端后換向來回移動;演示效果Class9_LCD1602dynamic2作業(yè)2:1602動態(tài)顯示3功能:用P0口、或P1口為1602送數(shù)據(jù),P2.0-P2.1位作為控制線,采用1602的整屏移動功能實(shí)現(xiàn)文字的移動,按鍵控制移動方向;單行顯示ProteusisausefultoolClass9_LCD1602dynamic3無字庫LCD12864仿真器件AMPIRE128×64;顯示漢字,可選擇字體;帶兩個液晶驅(qū)動器,液晶驅(qū)動器為KS0108,每個驅(qū)動器控制64×64個點(diǎn);LCD12864序號管腳功能1CS1左半屏片選端低電平有效2CS2右半屏片選端低電平有效3GND地4VCC電源5V0對比度調(diào)節(jié)6RS數(shù)據(jù)/指令控制:1為數(shù)據(jù)輸入0為指令輸入序號管腳名稱功能7R/W讀寫控制線1-讀出0-寫入8E控制允許信號R/W為0時,下降沿鎖存DB7~DB09~16DB0~DB7數(shù)據(jù)總線17RST復(fù)位信號低電平有效18Vout驅(qū)動電壓輸出端LCD地址映射圖CS1CS2指令描述顯示開/關(guān)設(shè)置

功能:設(shè)置屏幕顯示開/關(guān)。DB0=H,開顯示;DB0=L,關(guān)顯示。不影響顯示RAM中的內(nèi)容。

3EH—3FHR/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLLLHHHHHH/L設(shè)置頁地址功能:執(zhí)行本指令后,之后的讀寫操作將在指定頁內(nèi),直到重新設(shè)置。頁地址就是DDRAM

的行地址,頁地址存儲在頁地址計(jì)數(shù)器中,DB2-DB0可表示8頁,讀寫數(shù)據(jù)對頁地址沒有影響,除本指令可改變頁地址外,復(fù)位信號(RST)將頁地址計(jì)數(shù)器內(nèi)容清零。R/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLHLHHH頁地址(0~7)DDRAM地址映像表如表所示012………616263DB0~PAGE0DB7X=0DB0~PAGE1DB7X=1……………………DB0~PAGE6DB7X=7DB0~PAGE7DB7X=8設(shè)置列起始地址功能:DDRAM的列地址存儲在列地址計(jì)數(shù)器中,讀寫數(shù)據(jù)對列地址有影響,在對DDRAM進(jìn)列讀寫操作后,列地址自動加一。40H-7FHR/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLLH列地址(0~63)

設(shè)置顯示起始行功能:執(zhí)行該命令后,所設(shè)置的行將顯示在屏幕的第一行。顯示起始行是由Z地址計(jì)數(shù)器控制的,該命令自動將A0-A5位地址送入Z地址計(jì)數(shù)器,起始地址可以是0-63范圍內(nèi)任意一行。Z地址計(jì)數(shù)器具有循環(huán)計(jì)數(shù)功能,用于顯示行掃描同步,當(dāng)掃描完一行后自動加一。R/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLHH行地址(0~63)

AMPIRE128×64LCD的屏幕結(jié)構(gòu)12864Page1Page8#B8H#BFH#40H#7FH#40H#7FH狀態(tài)檢測功能:讀忙信號標(biāo)志位(BF)、復(fù)位標(biāo)志位(RST)以及顯示狀態(tài)位(ON/OFF)。DB7=H:內(nèi)部正在執(zhí)行操作;DB7=L:空閑狀態(tài)。DB4=H:處于復(fù)位初始化狀態(tài);DB4=L:正常狀態(tài)。DB5=H:表示顯示關(guān)閉;DB5=L:表示顯示開。R/WRSDB7DB6DB5DB4DB3DB2DB1DB0HLBFLON/OFFRSTLLLL寫顯示數(shù)據(jù)功能:寫數(shù)據(jù)到DDRAM,DDRAM是存儲圖形顯示數(shù)據(jù)的,寫指令執(zhí)行后列地址計(jì)數(shù)器自動加1。DB7-DB0位數(shù)據(jù)為1顯示,為0不顯示。寫數(shù)據(jù)到DDRAM前,要先執(zhí)行“設(shè)置頁地址”及“設(shè)置列地址”命令。R/WRSDB7DB6DB5DB4DB3DB2DB1DB0LH寫入顯示數(shù)據(jù)讀顯示數(shù)據(jù)功能:將當(dāng)前顯示的數(shù)據(jù)讀出。R/WRSDB7DB6DB5DB4DB3DB2DB1DB0HH待讀的顯示數(shù)據(jù)屏幕結(jié)構(gòu)12864Page1Page8#B8H#C0H#40H#7FH#40H#7FH歡迎光臨安工業(yè)大學(xué)#BFH#BCH#BAH#BBH西#BDH基本操作時序①讀狀態(tài)輸入:RS=L,R/W=H,CS1或CS2=H,E=H,輸出:D0~D7=狀態(tài)字②寫指令輸入:RS=L,R/W=L,D0~D7=指令碼,E=H→L;③讀數(shù)據(jù)輸入:RS=H,R/W=H,輸出:D0~D7=數(shù)據(jù)④寫數(shù)據(jù)輸入:RS=H,R/W=L,D0~D7=數(shù)據(jù),片選CS1或CS2,E=H→L;

變量聲明開始

初始化清屏上電置端口狀態(tài)是否清屏?

頁數(shù)

列數(shù)

行數(shù)(滾動顯示)取顯示數(shù)據(jù)顯示結(jié)束?行列頁處理結(jié)束

置LCD顯示方式置顯示數(shù)據(jù)字模提取軟件Zimo仿真:LCD1602漢字顯示實(shí)現(xiàn)功能

用AT89C51、AMPIRE12864LCD顯示器實(shí)現(xiàn)以下功能:1、在LCD上顯示兩行漢字,字體為楷體,16×16歡迎光臨西安工業(yè)大學(xué)2、通過按鈕開關(guān)實(shí)現(xiàn)清屏等功能;C51的P0口作為數(shù)據(jù)傳輸,P2.0~P2.4作為LCD1602的控制線;myLCD1602Static仿真:LCD1602漢字滾動顯示實(shí)現(xiàn)功能

在上一仿真結(jié)果的基礎(chǔ)上,實(shí)現(xiàn)歡迎光臨四個漢字在LCD液晶屏幕上的滾動顯示輸出;myLCD1602dym帶字庫LCD12864無仿真器件;只能顯示宋體GB2312;只有1個液晶驅(qū)動器,顯示為整體顯示,不分左右兩片,字符型液晶顯示可分為串行方式和并行方式兩種;帶字庫12864:SGX-12864-2H具有4位/8位并行,2線或3線串行多種接口方式,內(nèi)置國標(biāo)一級、二級簡體中文字庫的點(diǎn)陣圖形液晶顯示模塊;顯示分辨率為128×64,內(nèi)置8192個16×16點(diǎn)漢字,及128個16×8點(diǎn)ASCII字符集;電壓3V-5.5V,低功耗;

2MHz時鐘頻率;引腳意義1Vss電源地;2Vcc電源正;3V0對比度調(diào)整;4RS1,傳輸數(shù)據(jù);0,傳輸指令;5RW1,讀操作;0,寫操作;6E使能信號;7-14三態(tài)數(shù)據(jù)線;15PSB1,并口方式;0,串口方式;17RESET復(fù)位引腳,低電平有效;18VoutLCD驅(qū)動電壓輸出端;19A背光源正;20K背光源負(fù);17—1423456151617181920LCD12864清除顯示

清顯示指令將空位字符碼20H送入全部DDRAM地址中,使DDRAM中的內(nèi)容全部清除,顯示消失;地址計(jì)數(shù)器AC=0;RSRWD7D6D5D4D3D2D1D00000000001指令說明地址歸位

設(shè)定DDRAM的地址計(jì)數(shù)器AC為“00H”,且將游標(biāo)移到開頭原點(diǎn)位置;該指令不改變DDRAM中的內(nèi)容;RSRWD7D6D5D4D3D2D1D0000000001X顯示開/關(guān)控制RSRWD7D6D5D4D3D2D1D00000001DCBD顯示開/關(guān)控制標(biāo)志D=1整體顯示;D=0關(guān)顯示;C游標(biāo)顯示控制標(biāo)志C=1顯示游標(biāo);C=0

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論