基于FPGA的串口設(shè)計(jì)畢業(yè)設(shè)計(jì)論文_第1頁(yè)
基于FPGA的串口設(shè)計(jì)畢業(yè)設(shè)計(jì)論文_第2頁(yè)
基于FPGA的串口設(shè)計(jì)畢業(yè)設(shè)計(jì)論文_第3頁(yè)
基于FPGA的串口設(shè)計(jì)畢業(yè)設(shè)計(jì)論文_第4頁(yè)
基于FPGA的串口設(shè)計(jì)畢業(yè)設(shè)計(jì)論文_第5頁(yè)
已閱讀5頁(yè),還剩53頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

目錄基于FPGA的串口設(shè)計(jì)目錄任務(wù)書………………….Ⅰ開(kāi)題報(bào)告……………….Ⅱ指導(dǎo)教師審查意見(jiàn)…………………….Ⅲ評(píng)閱教師評(píng)語(yǔ)………….Ⅳ答辯會(huì)議記錄…………Ⅴ中文摘要……………….Ⅵ外文摘要……………….ⅦTOC\o"1-1"\h\z\u\h前言 頁(yè)(共40頁(yè))結(jié)束語(yǔ)在FAGA上實(shí)現(xiàn)UART的全過(guò)程,再通過(guò)電平轉(zhuǎn)換與PC機(jī)相連,就實(shí)現(xiàn)了與PC機(jī)的通信。通過(guò)本次畢業(yè)設(shè)計(jì),我完成了基于FPGA串口設(shè)計(jì)。在這次畢業(yè)設(shè)計(jì)中使我對(duì)FPGA技術(shù)有了進(jìn)一步的認(rèn)識(shí),F(xiàn)PGA技術(shù)注重培養(yǎng)學(xué)生的自主創(chuàng)新的意識(shí)和能力,側(cè)重使用電子系統(tǒng)的設(shè)計(jì)。在整個(gè)設(shè)計(jì)中最難點(diǎn)和學(xué)習(xí)費(fèi)時(shí)在于硬件描述語(yǔ)言VHDL語(yǔ)言,在利用硬件描述語(yǔ)言完成電路設(shè)計(jì)后,必須基于QuartusII6.0軟件的文本輸入設(shè)計(jì)流程和ModelSimSE6.2b波形仿真。首先得學(xué)習(xí)軟件的安裝和使用,尤其在編譯成功后的仿真波形的測(cè)試。通過(guò)系統(tǒng)的學(xué)習(xí)使用對(duì)軟件的使用和對(duì)設(shè)計(jì)的編程都有了進(jìn)一步的提升。在整個(gè)設(shè)計(jì)階段感受最為深刻的是掌握學(xué)習(xí)的方式和解決問(wèn)題的方法。首先是解決問(wèn)題的方式,在面臨的大量的信息中如何篩選出所需的信息,才是解決問(wèn)題的關(guān)鍵。畢業(yè)中出現(xiàn)的困難我通過(guò)和老師的溝通、查閱相關(guān)書籍、網(wǎng)上搜索以及同學(xué)的幫助,最終得到了解決。由于本人水平有限,文中難免存在不足之處,敬請(qǐng)各位老師和同學(xué)批評(píng)指正。第-29頁(yè)-(共37頁(yè))參考文獻(xiàn)(1)陳永剛.基于FPGA的通用異步收發(fā)器的設(shè)計(jì)蘭州交通大學(xué)學(xué)報(bào)2010年2月王敬美.基于FPGA和UART的數(shù)據(jù)采集器設(shè)計(jì)電子器件2009年4月方喜波.基于FPGA串口通訊開(kāi)發(fā)計(jì)算機(jī)與信息技術(shù)黃智偉.FPGA系統(tǒng)設(shè)計(jì)與實(shí)踐.電子工業(yè)出版社,2005〃廖日坤.CPLD/FPGA嵌入式應(yīng)用開(kāi)發(fā)技術(shù)白金手冊(cè).中國(guó)電力出版社;2005〃王振紅.VHDL數(shù)字電路設(shè)計(jì)與應(yīng)用實(shí)踐教程.北京:機(jī)械工業(yè)出版社,2003〃張凱.林偉.VHDL實(shí)例剖析.北京:國(guó)防工業(yè)出版社,2004〃徐光輝.徐志軍.CPLD/FPGA的開(kāi)發(fā)與應(yīng)用.北京:電子工業(yè)出版社,2002〃

致謝致謝本文是在我的指導(dǎo)老師陳曉靜講師的悉心指導(dǎo)下完成的,從論文的選題到最后的定稿都凝聚著陳老師的心血。四年來(lái),學(xué)校各位老師高超的學(xué)術(shù)思想、淵博的學(xué)術(shù)知識(shí)、豐富的學(xué)術(shù)經(jīng)驗(yàn)、嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度、豁達(dá)的為人風(fēng)格和不斷開(kāi)拓進(jìn)取的科學(xué)研究風(fēng)尚都直接、間接和潛移默化地影響著我,使我始終充滿不斷求知求新和拓展學(xué)術(shù)領(lǐng)域的熱情。在學(xué)業(yè)即將完成之際,謹(jǐn)向各位恩師表示我最衷心的感謝!除此以外,還要感謝各位同學(xué)的熱情幫助和鼓勵(lì),四年的學(xué)習(xí)生活,我們結(jié)下了深厚的友誼。當(dāng)我在學(xué)習(xí)生活中遇到一些問(wèn)題和煩惱時(shí),是他們給予我及時(shí)的幫助和熱心的鼓勵(lì),我會(huì)加倍珍惜同學(xué)之間的這種友誼。我還要深深的感謝支持和鼓勵(lì)我的家人,是他們默默的付出,使我能夠無(wú)牽掛的學(xué)習(xí),我只有更加努力的學(xué)習(xí)與工作,才能回報(bào)他們的關(guān)愛(ài)。最后,謹(jǐn)向所有關(guān)心、幫助和支持過(guò)我的老師、同學(xué)和家人們表示衷心的感謝!第31頁(yè)(共37頁(yè))基于FPGA的串口設(shè)計(jì)基于FPGA的串口設(shè)計(jì)基于FPGA的串口設(shè)計(jì)附錄1第32頁(yè)共40頁(yè)附錄附錄2附錄33主要程序(1)主程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitytopisport(clk32mhz,reset,rxd,xmit_cmd_p_in:instd_logic;—總的輸入輸出信號(hào)的定義rec_ready,txd_out,txd_done_out:outstd_logic;txdbuf_in:instd_logic_vector(7downto0);—待發(fā)送數(shù)據(jù)輸入rec_buf:outstd_logic_vector(7downto0));—接收數(shù)據(jù)緩沖endtop;architectureBehavioraloftopiscomponentrecieverport(bclkr,resetr,rxdr:instd_logic;r_ready:outstd_logic;rbuf:outstd_logic_vector(7downto0));endcomponent;componenttransferport(bclkt,resett,xmit_cmd_p:instd_logic;txdbuf:std_logic_vector(7downto0);txd:outstd_logic;txd_done:outstd_logic);endcomponent;componentbaudPort(clk,resetb:instd_logic;bclk:outstd_logic);endcomponent;signalb:std_logic;beginu1:baudportmap(clk=>clk32mhz,resetb=>reset,bclk=>b);—頂層映射u2:recieverportmap(bclkr=>b,resetr=>reset,rxdr=>rxd,r_ready=>rec_ready,rbuf=>rec_buf);u3:transferportmap(bclkt=>b,resett=>reset,xmit_cmd_p=>xmit_cmd_p_in,txdbuf=>txdbuf_in,txd=>txd_out,txd_done=>txd_done_out);endBehavioral;(2)波特率發(fā)生器模塊(baud.vhd)libraryieee;useieee.std_logic_1164.all;useieee.numeric_std.all;useieee.std_logic_unsigned.all;entitylastisport(resetb:instd_logic;clk:instd_logic;bclk:outstd_logic);endlast;architectureccoflastissignalclk_cnt:unsigned(3downto0);signalclk_bit:std_logic;beginprocess(clk,resetb)beginif(resetb='1')thenclk_cnt<="0000";clk_bit<='0';elsifrising_edge(clk)thenif(clk_cnt=4)thenclk_cnt<="0000";clk_bit<=notclk_bit;elseclk_cnt<=clk_cnt+1;endif;endif;endprocess;bclk<=clk_bit;endcc;(3)UART接收器(receiver.Vhd)libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityrecieverisgeneric(framlenr:integer:=8);port(bclkr,resetr,rxdr:instd_logic;—定義輸入輸出信號(hào)r_ready:outstd_logic;rbuf:outstd_logic_vector(7downto0));endreciever;architectureBehavioralofrecieveristypestatesis(r_start,r_center,r_wait,r_sample,r_stop);—定義各個(gè)狀態(tài)signalstate:states:=r_start;signalrxd_sync:std_logic;beginprol:process(rxdr)beginifrxdr='0'thenrxd_sync<='0';elserxd_sync<='1';endif;endprocess;pro2:process(bclkr,resetr,rxd_sync)—主控時(shí)序、組合進(jìn)程variablecount:std_logic_vector(3downto0);—定義中間變量variablercnt:integer:=0;variablerbufs:std_logic_vector(7downto0);beginifresetr='1'thenstate<=r_start;count:="0000";—復(fù)位elsifrising_edge(bclkr)thencasestateiswhenr_start=>—狀態(tài)1,等待起始位ifrxd_sync='0'thenstate<=r_center;r_ready<='0';rcnt:=0;elsestate<=r_start;r_ready<='0';endif;whenr_center=>—狀態(tài)2,求出每位的中點(diǎn)ifrxd_sync='0'thenifcount="0100"thenstate<=r_wait;count:="0000";elsecount:=count+1;state<=r_center;endif;elsestate<=r_start;endif;whenr_wait=>—狀態(tài)3,等待狀態(tài)ifcount>="1101"thenifrcnt=framlenrthenstate<=r_stop;elsestate<=r_sample;endif;count:="0000";elsecount:=count+1;state<=r_wait;endif;whenr_sample=>rbufs(rcnt):=rxd_sync;rcnt:=rcnt+1;state<=r_wait;—狀態(tài)4,數(shù)據(jù)采樣檢測(cè)whenr_stop=>r_ready<='1';rbuf<=rbufs;state<=r_start;—狀態(tài)4,輸出幀接收完畢信號(hào)whenothers=>state<=r_start;endcase;endif;endprocess;endBehavioral;(4):UART發(fā)送器(transfer.Vhd)libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitytransferisgeneric(framlent:integer:=8);port(bclkt,resett,xmit_cmd_p:instd_logic;—定義輸入輸出信號(hào)txdbuf:instd_logic_vector(7downto0):="11001010";txd:outstd_logic;txd_done:outstd_logic);endtransfer;architectureBehavioraloftransferistypestatesis(x_idle,x_start,x_wait,x_shift,x_stop);—定義各個(gè)子狀態(tài)signalstate:states:=x_idle;signaltcnt:integer:=0;beginprocess(bclkt,resett,xmit_cmd_p,txdbuf)—主控時(shí)序、組合進(jìn)程variablexcnt16:std_logic_vector(4downto0):="00000";—定義中間變量variablexbitcnt:integer:=0;variabletxds:std_logic;beginifresett='1'thenstate<=x_idle;txd_done<='0';txds:='1';—復(fù)位elsifrising_edge(bclkt)thencasestateiswhenx_idle=>—狀態(tài)1,等待數(shù)據(jù)幀發(fā)送命令ifxmit_cmd_p='1'thenstate<=x_start;txd_done<='0';elsestate<=x_idle;endif;whenx_start=>—狀態(tài)2,發(fā)送信號(hào)至起始位ifxcnt16>="01111"thenstate<=x_wait;xcnt16:="00000";elsexcnt16:=xcnt16+1;txds:='0';state<=x_start;endif;whenx_wait=>—狀態(tài)3,等待狀態(tài)ifxcnt16>="01110"thenifxbitcnt=framlentthenstate<=x_stop;xbitcnt:=0;elsestate<=x_shift;endif;xcnt16:="00000";elsexcnt16:=xcnt16+1;state<=x_wait;endif;whenx_shift=>txds:=txdbuf(xbitcnt);xbitcnt:=xbitcnt+1;state<=x_wait;—狀態(tài)4,將待發(fā)數(shù)據(jù)進(jìn)行并串轉(zhuǎn)換whenx_stop=>—狀態(tài)5,停止發(fā)送狀態(tài)ifxcnt16>="01111"thenifxmit_cmd_p='0'thenstate<=x_idle;xcnt16:="00000";elsexcnt16:=xcnt16;state<=x_stop;endif;txd_done<='1';elsexcnt16:=xcnt16+1;txds:='1';state<=x_stop;endif;whenothers=>state<=x_idle;endcase;endif;txd<=txds;endprocess;endBehavioral;

畢業(yè)設(shè)計(jì)(論文)原創(chuàng)性聲明和使用授權(quán)說(shuō)明原創(chuàng)性聲明本人鄭重承諾:所呈交的畢業(yè)設(shè)計(jì)(論文),是我個(gè)人在指導(dǎo)教師的指導(dǎo)下進(jìn)行的研究工作及取得的成果。盡我所知,除文中特別加以標(biāo)注和致謝的地方外,不包含其他人或組織已經(jīng)發(fā)表或公布過(guò)的研究成果,也不包含我為獲得及其它教育機(jī)構(gòu)的學(xué)位或?qū)W歷而使用過(guò)的材料。對(duì)本研究提供過(guò)幫助和做出過(guò)貢獻(xiàn)的個(gè)人或集體,均已在文中作了明確的說(shuō)明并表示了謝意。作者簽名:日期:指導(dǎo)教師簽名:日期:使用授權(quán)說(shuō)明本人完全了解大學(xué)關(guān)于收集、保存、使用畢業(yè)設(shè)計(jì)(論文)的規(guī)定,即:按照學(xué)校要求提交畢業(yè)設(shè)計(jì)(論文)的印刷本和電子版本;學(xué)校有權(quán)保存畢業(yè)設(shè)計(jì)(論文)的印刷本和電子版,并提供目錄檢索與閱覽服務(wù);學(xué)??梢圆捎糜坝?、縮印、數(shù)字化或其它復(fù)制手段保存論文;在不以贏利為目的前提下,學(xué)??梢怨颊撐牡牟糠只蛉?jī)?nèi)容。作者簽名:日期:學(xué)位論文原創(chuàng)性聲明本人鄭重聲明:所呈交的論文是本人在導(dǎo)師的指導(dǎo)下獨(dú)立進(jìn)行研究所取得的研究成果。除了文中特別加以標(biāo)注引用的內(nèi)容外,本論文不包含任何其他個(gè)人或集體已經(jīng)發(fā)表或撰寫的成果作品。對(duì)本文的研究做出重要貢獻(xiàn)的個(gè)人和集體,均已在文中以明確方式標(biāo)明。本人完全意識(shí)到本聲明的法律后果由本人承擔(dān)。作者簽名:日期:年月日學(xué)位論文版權(quán)使用授權(quán)書本學(xué)位論文作者完全了解學(xué)校有關(guān)保留、使用學(xué)位論文的規(guī)定,同意學(xué)校保留并向國(guó)家有關(guān)部門或機(jī)構(gòu)送交論文的復(fù)印件和電子版,允許論文被查閱和借閱。本人授權(quán)大學(xué)可以將本學(xué)位論文的全部或部分內(nèi)容編入有關(guān)數(shù)據(jù)庫(kù)進(jìn)行檢索,可以采用影印、縮印或掃描等復(fù)制手段保存和匯編本學(xué)位論文。涉密論文按學(xué)校規(guī)定處理。作者簽名:日期:年月日導(dǎo)師簽名:日期:年月日指導(dǎo)教師評(píng)閱書指導(dǎo)教師評(píng)價(jià):一、撰寫(設(shè)計(jì))過(guò)程學(xué)生在論文(設(shè)計(jì))過(guò)程中的治學(xué)態(tài)度、工作精神□優(yōu)□良□中□及格□不及格學(xué)生掌握專業(yè)知識(shí)、技能的扎實(shí)程度□優(yōu)□良□中□及格□不及格學(xué)生綜合運(yùn)用所學(xué)知識(shí)和專業(yè)技能分析和解決問(wèn)題的能力□優(yōu)□良□中□及格□不及格研究方法的科學(xué)性;技術(shù)線路的可行性;設(shè)計(jì)方案的合理性□優(yōu)□良□中□及格□不及格完成畢業(yè)論文(設(shè)計(jì))期間的出勤情況□優(yōu)□良□中□及格□不及格二、論文(設(shè)計(jì))質(zhì)量論文(設(shè)計(jì))的整體結(jié)構(gòu)是否符合撰寫規(guī)范?□優(yōu)□良□中□及格□不及格是否完成指定的論文(設(shè)計(jì))任務(wù)(包括裝訂及附件)?□優(yōu)□良□中□及格□不及格三、論文(設(shè)計(jì))水平論文(設(shè)計(jì))的理論意義或?qū)鉀Q實(shí)際問(wèn)題的指導(dǎo)意義□優(yōu)□良□中□及格□不及格論文的觀念是否有新意?設(shè)計(jì)是否有創(chuàng)意?□優(yōu)□良□中□及格□不及格論文(設(shè)計(jì)說(shuō)明書)所體現(xiàn)的整體水平□優(yōu)□良□中□及格□不及格建議成績(jī):□優(yōu)□良□中□及格□不及格(在所選等級(jí)前的□內(nèi)畫“√”)指導(dǎo)教師:(簽名)單位:(蓋章)年月日評(píng)閱教師評(píng)閱書評(píng)閱教師評(píng)價(jià):一、論文(設(shè)計(jì))質(zhì)量1、論文(設(shè)計(jì))的整體結(jié)構(gòu)是否符合撰寫規(guī)范?□優(yōu)□良□中□及格□不及格2、是否完成指定的論文(設(shè)計(jì))任務(wù)(包括裝訂及附件)?□優(yōu)□良□中□及格□不及格二、論文(設(shè)計(jì))水平1、論文(設(shè)計(jì))的理論意義或?qū)鉀Q實(shí)際問(wèn)題的指導(dǎo)意義□優(yōu)□良□中□及格□不及格2、論文的觀念是否有新意?設(shè)計(jì)是否有創(chuàng)意?□優(yōu)□良□中□及格□不及格3、論文(設(shè)計(jì)說(shuō)明書)所體現(xiàn)的整體水平□優(yōu)□良□中□及格□不及格建議成績(jī):□優(yōu)□良□中□及格□不及格(在所選等級(jí)前的□內(nèi)畫“√”)評(píng)閱教師:(簽名)單位:(蓋章)年月日教研室(或答辯小組)及教學(xué)系意見(jiàn)教研室(或答辯小組)評(píng)價(jià):一、答辯過(guò)程畢業(yè)論文(設(shè)計(jì))的基本要點(diǎn)和見(jiàn)解的敘述情況□優(yōu)□良□中□及格□不及格對(duì)答辯問(wèn)題的反應(yīng)、理解、表達(dá)情況□優(yōu)□良□中□及格□不及格學(xué)生答辯過(guò)程中的精神狀態(tài)□優(yōu)□良□中□及格□不及格二、論文(設(shè)計(jì))質(zhì)量論文(設(shè)計(jì))的整體結(jié)構(gòu)是否符合撰寫規(guī)范?□優(yōu)□良□中□及格□不及格是否完成指定的論文(設(shè)計(jì))任務(wù)(包括裝訂及附件)?□優(yōu)□良□中□及格□不及格三、論文(設(shè)計(jì))水平論文(設(shè)計(jì))的理論意義或?qū)鉀Q實(shí)際問(wèn)題的指導(dǎo)意義□優(yōu)□良□中□及格□不及格論文的觀念是否有新意?設(shè)計(jì)是否有創(chuàng)意?□優(yōu)□良□中□及格□不及格論文(設(shè)計(jì)說(shuō)明書)所體現(xiàn)的整體水平□優(yōu)□良□中□及格□不及格評(píng)定成績(jī):□優(yōu)□良□中□及格□不及格(在所選等級(jí)前的□內(nèi)畫“√”)教研室主任(或答辯小組組長(zhǎng)):(簽名)年月日教學(xué)系意見(jiàn):系主任:(簽名)年月日學(xué)位論文創(chuàng)性聲明本人鄭重聲明:所呈交的學(xué)位論文,是本人在導(dǎo)師的指導(dǎo)下進(jìn)行的研究工作所取得的成果。盡我所知,除文中已經(jīng)特別注明引用的內(nèi)容和致謝的地方外,本論文不包含任何其他個(gè)人或集體已經(jīng)發(fā)表或撰寫過(guò)的研究成果。對(duì)本文的研究做出重要貢獻(xiàn)的個(gè)人和集體,均已在文中以明確方式注明并表示感謝。本人完全意識(shí)到本聲明的法律結(jié)果由本人承擔(dān)。學(xué)位論文作者(本人簽名): 年月日學(xué)位論文出版授權(quán)書本人及導(dǎo)師完全同意《中國(guó)博士學(xué)位論文全文數(shù)據(jù)庫(kù)出版章程》、《中國(guó)優(yōu)秀碩士學(xué)位論文全文數(shù)據(jù)庫(kù)出版章程》(以下簡(jiǎn)稱“章程”),愿意將本人的學(xué)位論文提交“中國(guó)學(xué)術(shù)期刊(光盤版)電子雜志社”在《中國(guó)博士學(xué)位論文全文數(shù)據(jù)庫(kù)》、《中國(guó)優(yōu)秀碩士學(xué)位論文全文數(shù)據(jù)庫(kù)》中全文發(fā)表和以電子、網(wǎng)絡(luò)形式公開(kāi)出版,并同意編入CNKI《中國(guó)知識(shí)資源總庫(kù)》,在《中國(guó)博碩士學(xué)位論文評(píng)價(jià)數(shù)據(jù)庫(kù)》中使用和在互聯(lián)網(wǎng)上傳播,同意按“章程”規(guī)定享受相關(guān)權(quán)益。論文密級(jí):□公開(kāi)□保密(___年__月至__年__月)(保密的學(xué)位論文在解密后應(yīng)遵守此協(xié)議)作者簽名:_______導(dǎo)師簽名:______________年_____月_____日_______年_____月_____日獨(dú)創(chuàng)聲明本人鄭重聲明:所呈交的畢業(yè)設(shè)計(jì)(論文),是本人在指導(dǎo)老師的指導(dǎo)下,獨(dú)立進(jìn)行研究工作所取得的成果,成果不存在知識(shí)產(chǎn)權(quán)爭(zhēng)議。盡我所知,除文中已經(jīng)注明引用的內(nèi)容外,本設(shè)計(jì)(論文)不含任何其他個(gè)人或集體已經(jīng)發(fā)表或撰寫過(guò)的作品成果。對(duì)本文的研究做出重要貢獻(xiàn)的個(gè)人和集體均已在文中以明確方式標(biāo)明。 本聲明的法律后果由本人承擔(dān)。 作者簽名:二〇一〇年九月二十日畢業(yè)設(shè)計(jì)(論文)使用授權(quán)聲明本人完全了解濱州學(xué)院關(guān)于收集、保存、使用畢業(yè)設(shè)計(jì)(論文)的規(guī)定。本人愿意按照學(xué)校要求提交學(xué)位論文的印刷本和電子版,同意學(xué)校保存學(xué)位論文的印刷本和電子版,或采用影印、數(shù)字化或其它復(fù)制手段保存設(shè)計(jì)(論文);同意學(xué)校在不以營(yíng)利為目的的前

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論