數(shù)電組合邏輯電路設(shè)計(jì)_第1頁(yè)
數(shù)電組合邏輯電路設(shè)計(jì)_第2頁(yè)
數(shù)電組合邏輯電路設(shè)計(jì)_第3頁(yè)
數(shù)電組合邏輯電路設(shè)計(jì)_第4頁(yè)
數(shù)電組合邏輯電路設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩5頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

四川大學(xué)電氣信息學(xué)院數(shù)電一一組合邏輯電路設(shè)計(jì)實(shí)現(xiàn)四位二進(jìn)制無(wú)符號(hào)數(shù)乘法計(jì)算學(xué)號(hào) 姓名 專業(yè)通信工程 日期2017?4?29 一、設(shè)計(jì)目的設(shè)計(jì)一個(gè)乘法器,實(shí)現(xiàn)兩個(gè)四位二進(jìn)制數(shù)的乘法。兩個(gè)二進(jìn)制數(shù)分別是被乘數(shù)AAAA和乘數(shù)BBBB。被乘數(shù)和乘數(shù)這兩個(gè)二進(jìn)制數(shù)分別由高低電平給出。乘3210 3210。 。/I、法運(yùn)算的結(jié)果即乘積由兩個(gè)數(shù)碼管顯示。其中顯示低位的數(shù)碼管是十進(jìn)制的;顯示高位的數(shù)碼管是二進(jìn)制的,每位高位片的示數(shù)都要乘以16再與低位片相加。所得的和即是被乘數(shù)和乘數(shù)的乘積。做到保持乘積、輸出乘積,即認(rèn)為實(shí)驗(yàn)成功,結(jié)束運(yùn)算。1011X1101101100001011101110001111-位難法運(yùn)算過(guò)程二、設(shè)計(jì)思路將乘法運(yùn)算分解為加法運(yùn)算。被乘數(shù)循環(huán)相加,循環(huán)的次數(shù)是乘數(shù)。加法運(yùn)算利用雙四位二進(jìn)制加法器74LS283實(shí)現(xiàn),循環(huán)次數(shù)的控制利用計(jì)數(shù)器74LS161、數(shù)碼74LS85比較器實(shí)現(xiàn)。運(yùn)算結(jié)果的顯示有數(shù)碼管完成,顯示數(shù)字的高位(進(jìn)位信號(hào))由計(jì)數(shù)器74LS161控制。I、]54 力出AAAAq入nini BBBB 入mimm、應(yīng)AAAA以為例。被乘數(shù)3210是5,輸人0101;米數(shù)3210是4,輸人0100.」將3210輸入到加法器的A端,與B端的二進(jìn)制數(shù)相加,輸出的和被送入74LS161的置數(shù)端(把這個(gè)計(jì)數(shù)器成為“置數(shù)器”)。當(dāng)時(shí)鐘來(lái)臨,另一個(gè)74LS161(被稱之為“計(jì)數(shù)器”)計(jì)1,“置數(shù)器”置數(shù),返回到加法器的B端,再與被乘數(shù)A3A2A1A。相加……當(dāng)循環(huán)相加到第四個(gè)時(shí)鐘3 2 1 0的時(shí)候,“計(jì)數(shù)器”計(jì)4,這個(gè)4在數(shù)碼比較器74LS85上與乘數(shù)B3B2B1B0比較,結(jié)果是相等,A=B端輸出1,經(jīng)過(guò)反相器后變?yōu)?返回到被乘數(shù)輸入電路,截?cái)嗯c門(mén)。至此,被乘數(shù)變?yōu)?000,即便是再循環(huán)相加,和也不變。這個(gè)和,是多次循環(huán)相加的和,就是乘積。高位顯示電路較為獨(dú)立,當(dāng)加法器產(chǎn)生了進(jìn)位信號(hào),CA端輸出了一個(gè)高電平脈沖,經(jīng)過(guò)非門(mén)變?yōu)橄?/p>

降脈沖驅(qū)動(dòng)74LS161計(jì)一次數(shù),這個(gè)數(shù)可以通過(guò)數(shù)碼管顯示出來(lái)。但是由于二進(jìn)制數(shù)是滿足8421排列的,進(jìn)位的數(shù)是10000,即是10進(jìn)制數(shù)的16。三、儀器設(shè)備名稱、型號(hào)74LS85 (一個(gè))74LS85 (一個(gè))74LS283 (一個(gè))非門(mén) (兩個(gè))74LS161 (三個(gè))74LS08(兩個(gè))數(shù)碼顯示管(兩個(gè))、實(shí)驗(yàn)電路圖U2>-NOT澇位信號(hào)計(jì)74LS163DU2>-NOT澇位信號(hào)計(jì)74LS163D說(shuō)明:左下角的D1C1B1A1為被乘數(shù)的輸入端,單刀雙擲開(kāi)關(guān)向上是“1”,向下時(shí)“0”。左側(cè)的D2C2B2A2是乘數(shù)的輸入端。被乘數(shù)經(jīng)過(guò)中間靠右的“加法器低位片”循環(huán)相加,相加的結(jié)果經(jīng)過(guò)右上方的“置數(shù)器”返回到加法器?!爸脭?shù)器”和“計(jì)數(shù)器”共用一個(gè)時(shí)鐘信號(hào),當(dāng)“計(jì)數(shù)器”所計(jì)的數(shù)與乘數(shù)相等的時(shí)候,最上方的數(shù)碼比較器輸出“1”,經(jīng)過(guò)非門(mén)變?yōu)椤?”,“0”返回到4雙輸入與門(mén)中將與門(mén)封死,輸出0000.同樣是這個(gè)“0”信號(hào),將“計(jì)數(shù)器”的使能端EP置為“0”,使計(jì)數(shù)器保持輸出,達(dá)到穩(wěn)定輸出的目的。當(dāng)“加法器低位片”有進(jìn)位時(shí),輸出一個(gè)上升沿,經(jīng)過(guò)非門(mén)后變?yōu)橄陆笛?,?qū)動(dòng)“進(jìn)位信號(hào)計(jì)數(shù)器”計(jì)數(shù),其結(jié)果被“高位顯示”顯示出來(lái)?!案呶伙@示”的示數(shù)都要乘以16才可以變?yōu)?0進(jìn)制的數(shù)。最后的乘積可由兩個(gè)數(shù)碼管顯示出來(lái)。讀數(shù)方法:“高位顯示”字形所對(duì)應(yīng)的十進(jìn)制數(shù)*16+“低位顯示”字形所對(duì)應(yīng)的十進(jìn)制數(shù)。計(jì)算結(jié)束,目的達(dá)成。五、仿真分析結(jié)果仿真結(jié)果174LS85D乘數(shù)輸入V而1000被乘數(shù)1000被乘數(shù)1000,乘數(shù)1000,結(jié)果:4*16=6474LS85D乘數(shù)輸入V而1000被乘數(shù)1000被乘數(shù)1000,乘數(shù)1000,結(jié)果:4*16=64。具體情況請(qǐng)看圖中的方框。輸入湛位信號(hào)計(jì)74LS163DIJkuMM仿真結(jié)果2商輸入11001100致器體情讀數(shù)端:0請(qǐng)看圖中的方框。6仿真結(jié)果2商輸入11001100致器體情讀數(shù)端:0請(qǐng)看圖中的方框。6所設(shè)計(jì)乘法器工作良好、結(jié)果與預(yù)想情況相同。七、心得體會(huì)通過(guò)這一段時(shí)間的緊張學(xué)習(xí),最后完成了我的設(shè)計(jì)任務(wù)一一四位二進(jìn)制乘法器的設(shè)計(jì)。通過(guò)本次課程設(shè)計(jì)的學(xué)習(xí),我深深的體會(huì)到設(shè)計(jì)課的重要性和目的性所在。本次設(shè)計(jì)課不僅僅培養(yǎng)了我們實(shí)際操作能力,也培養(yǎng)了我們靈活運(yùn)用課本知識(shí)完成工作的能力。乘法器雖然是比較簡(jiǎn)單的設(shè)計(jì)課程,但它給了我一個(gè)鍛煉的機(jī)會(huì)和檢驗(yàn)的機(jī)會(huì),也給我復(fù)習(xí)多個(gè)學(xué)科知識(shí)提供了便利。希望學(xué)校以后多安排一些類似的實(shí)踐環(huán)節(jié),讓同學(xué)們學(xué)以致用

另:使用硬件描述語(yǔ)言ISE設(shè)計(jì)乘法器的實(shí)驗(yàn)過(guò)程圖如下^ISEProjectNavrgator(O.Glxd)-F:\xxxx\sjl\sjl.xise-[sjl.v]^\=\FileEditViewProjectSourceProcessToolsWindowLayoutHelp:D舊日岸Im苗xEa|或尹弟Jg>次尸時(shí)INI:暗日E盧整I,*季百|(zhì):。++□t5xView: Irriplementatio:?Simulatio:翁Eehavi>:?r:dl ▼HierarchyI■間早Oxc6slx9-2csg324□-0++□t5xView: Irriplementatio:?Simulatio:翁Eehavi>:?r:dl ▼HierarchyI■間早Oxc6slx9-2csg324□-0sjl_tb(sjljb.v)0uut-sjl(sjl.v)r宜文一NoFrocesEGERuriTLingProcesses:sjlj:b白?爹ISimSimulator■-(JBehavioralCheckSyntaxSimulateBehavioralModel1id用%Q293031323334353-63733394041424344454647434950515253545556for(i=0;i<4;1=1+1}beginif (l?i}}beginterEp=lna?1;end.elsebegintertp=S1hOO;endbeginfor(j=0;j<8;j=j+l}beginif門(mén)==□)beginci[j]=out[j]&terc.p[j];out[j]=out[j]Atemp[j]endelsebeginci[j]=(QJt[j]Sterup[j]out[j]=out[j]Atemp[j]endend.end.endendendiriodule"■ci[j-1];m=JISEDesietlSuiteInfoCentersjl.vDeeietlSummaryCSyrttheDesignPGFilg|心[<][卜Objects++ni5xSirrnjlationObjectsi-Objects++ni5xSirrnjlationObjectsi-orSjl_tbObjectNameValueData"lypi>書(shū)out[7:0]10000010Arrayt>碣ina[3:0]1101Array>瑾inb[S:0]1010 Array3031323334353637383940414243444546474849505152535455reg[3:0]inb;//Outputswire[7:0]out;//InstamtlatetheUnitUnderTest(UUT)sjluut(.ina(ina)f.inb(inb)f.out(out)initialbegin//InitializeInputsina=13;inb=10;//Wait100nsforglobalresettofinish#100;//AddstiinuTushereendendiriodule

1X叫閭部UaQWFIF口>h? 詮費(fèi) 詩(shī)100uS,芯△,芯△四X?suM訥」uO,號(hào)FlTMi,波尸其盧|固:i£±rt小,Inst^Lizesa'"++□|5Inst^Lizesa'"++□|5XObjects++□t5xLLL^jLqI13J?SimulationObjsetsforejl_+bK業(yè)i虺I業(yè)^匾]InstanceandProcessIsObjectName ValueDatalypi□sjl-tb>Qgibi[>,OUt[7:0] 01010001Array[>碰ina[S:0] 1001Array[>磴inb[3:0] 1001Array4=二蘭=;=114%%%一三?5皆2930313233343536373839404142434445464748%505152535455reg[3:0]inlo;//Outputswire[7:0]out;//InstantiatetheUnitUnderBjlUUt(ina(ina}finb(inb},out(out}initialbegin//InitializeInputsina.=9;irib=9;//Wait100nsforglobali#100;//AddstimulushereendendirioduleXOLjects++□fi1X5=29reg[3:0]inb;SimulationObjsetsforejl_tb?=303132//Outputswire[7:0]out;_rObjectName ValueDataTypi3334//InstaintlatetheUnitUnderTest(UUT)/out[7:0] 10000111Array35si1uutt[> ina[3:0] 1001Arrays36?ina(ina}f宙inb[3:0] 1111Array37?inb(inb}f433?out(out}39);40用41initialbegin%42//InitializeInputs43ina.=9;O44inJo=15;&4546//Wait100nsforglobalreset:tofinise47#100;4349//Addstimuliashere5051end5253endinodule54551RR*匚rrr

前FileEditViewSimulationWindowLayoutHelp舊羅:的s'iX?lbM遍L(zhǎng)2谿,卑閂F口>?戶Q屆R1國(guó)世:立tr-*i□> i.ooue!▼II[QRe200nsiiiiliiii300ns■iii1iiii400ns■■ii1iiii500nsiiiiIiiii600nsiiiiliiii700ns■iiiiiiii800ns■iii1iiii>R!|out[7:0]10000010110111100000K▼,ina[3:0]U[3]1J&[2]11 I1101in01jU[1]U[0]▼,inb[3:0]U[3]01101011010U[2]U[1]01emory SourceFiles訴[0]0XI:L000.000nsnr仿真分析結(jié)果:[=|PlarserMessages[=|SynthesisMessages時(shí)“口TranslationMessagesMapMessagesPlaceandRouteMessagesTimingMessagesk-□BitgenMessages[=|AllImplementationMessa...E)-DetailedReports[=|SynthesisReport口TranslationReportMapReportPlaceandRouteReportPost-PARStaticTimingRep...PowerReportBitgenReportSecondaryReportsSynthesisReportTopcfReport■SynthesisOptionsSummaryHDLParsingHDLElaboration□■■HDLSynthesisHDLSynthesisReport□■-AdvancedHDLSynthesisRelease13.2-xst0.61x

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論