液晶點(diǎn)陣式矩陣電子廣告牌設(shè)計(jì)與制作畢業(yè)設(shè)計(jì)_第1頁
液晶點(diǎn)陣式矩陣電子廣告牌設(shè)計(jì)與制作畢業(yè)設(shè)計(jì)_第2頁
液晶點(diǎn)陣式矩陣電子廣告牌設(shè)計(jì)與制作畢業(yè)設(shè)計(jì)_第3頁
液晶點(diǎn)陣式矩陣電子廣告牌設(shè)計(jì)與制作畢業(yè)設(shè)計(jì)_第4頁
液晶點(diǎn)陣式矩陣電子廣告牌設(shè)計(jì)與制作畢業(yè)設(shè)計(jì)_第5頁
已閱讀5頁,還剩35頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

本設(shè)計(jì)用AT89C51系列的高速單片機(jī)作為主控制模塊,利用簡(jiǎn)單的外圍電路來驅(qū)動(dòng)64×16的點(diǎn)陣LED顯示屏。同時(shí),也可在嵌入式系統(tǒng)軟件硬件設(shè)計(jì)仿真平臺(tái)Proteus基礎(chǔ)上設(shè)置了16×16LED點(diǎn)陣漢字顯示電路。使用AT89C51系列的高速單片機(jī)本身的功能,很方便的實(shí)現(xiàn)單片機(jī)和PC機(jī)之間的數(shù)據(jù)存儲(chǔ)及傳輸,一方面可利用軟件進(jìn)行顯示內(nèi)容的多樣化,另一方面點(diǎn)陣可顯示屏,廣泛的應(yīng)用于醫(yī)院、機(jī)場(chǎng)、銀行等公共場(chǎng)所,所以本設(shè)計(jì)具有很強(qiáng)的實(shí)際應(yīng)用性。LED顯示屏可用動(dòng)態(tài)掃描的方式同時(shí)顯示4個(gè)16×16點(diǎn)陣漢字,也可通過上位機(jī)軟件修改顯示的內(nèi)容和顯示的效果等等。把字符內(nèi)碼存儲(chǔ)在空閑的單片機(jī)程序存儲(chǔ)器空間,使本LED顯示系統(tǒng)能掉電存儲(chǔ)1024個(gè)字符。設(shè)計(jì)中采用了SPI接口的GB2312標(biāo)準(zhǔn)字庫,支持所有的國(guó)字符和ASC=2\*ROMANII標(biāo)準(zhǔn)字符的顯示。因?yàn)椴捎么袀鬏敺绞?,所以使本系統(tǒng)的可擴(kuò)展性得到提升,有利于多個(gè)顯示單元的級(jí)聯(lián)。通過漢字字模點(diǎn)陣數(shù)據(jù)的生成軟件進(jìn)行,實(shí)現(xiàn)漢字點(diǎn)陣的效果,同時(shí)應(yīng)用匯編語言對(duì)單片機(jī)進(jìn)行了控制性的操作。也完成了實(shí)物電路,并在Proteus軟件基礎(chǔ)上對(duì)結(jié)果仿真,得到了漢字點(diǎn)陣效果圖。動(dòng)態(tài)顯示單片機(jī)點(diǎn)陣字庫渡Ab旋st壘ra謠ct造Th匹is蕉d率es見ig療n玩wi純th桑A蠶T煮89帖C者51蘇s召er任ie巡s頌of唯m鋒ic蜘ro值pr躁oc糧es題so膏r烏as急t浩he適m窄ai束n憶co及nt彈ro侄l翠mo序du滋le熄,神us雄e溉th厲e速pe轎ri稈ph懲er巾y集of抽t督he貪s瘋im鳴pl牧e炕ci觸rc馬ui鄙t攻to蓬d處ri饒ve疼t咐he蹤6菌4丹x寺16膨m類at保ri令x披LE判D藏di閘sp確la蹄y.銷A坐t版th慣e小sa局me頓t廣im堂e,鋒a科ls裳o鍋ca林n屯be暖i平n釋em眨be依dd捕ed爭(zhēng)s失ys所te振m拌so朵ft凝wa澆re玉a壯nd煤h策ar裝dw形ar困e癢de旨si板gn遼s章im迷u(píng)l碑a(chǎn)t軌io皇n誘pl摸at談fo龍rm幕b轟as價(jià)ed譯o哨n喝Pr門ot將eu讓s鍛se礦t怖16苗×惱1振6趟of瓣L戰(zhàn)ED害d丈ot它m麻at錄ri戴x插ch磨ar季ac守te喚rs鐘d重is諒pl橋ay紙c丙ir逢cu老it解.忘Us骨e拒th尚e輕mi較cr拍op鍵ro笨ce慈ss計(jì)or獸i繁ts仇el帝f釘AT膚89裹C辨51判s軍er籌ie溝s劫of霧f損un疫ct朗io初n,乏v玻er艙y基co避nv獨(dú)en充ie何nt牲r讀ea券li含ze箱b樓et悟we寶en哄S予CM尸a茄nd罪P典C胞of每d稀at跪a掌st課or嘗ag屬e壓an耀d翅t(yī)r謙an蓮sm怒is削si壩on欠,認(rèn)on攜t而he渠o垮ne醫(yī)h樂an功d,球c瞎an副u犁se懸s哀of針tw邁ar爹e蹦sh句ow塞in止g匪th見e飽co束nt寧en疼ts敗o匹f竊th秩e加di湯ve越rs洪e,索o鄉(xiāng)n霜th信e旺ot笛he璃r岸ha瞇nd賴b甲it麗ma衰p瞞ca盟n執(zhí)di企sp橋la炒y,傅w速id趙el舌y貓us賽ed礎(chǔ)i稅n衡ho片sp家it絕al最s,嫩a肉ir進(jìn)po之rt懼s,份B描an勁ks編a偉nd思o(jì)說th膛er從p克ub冰li千c株pl卵a(bǔ)c通es懶,累so推t量hi日s盜de宰si岡gn元w頸hi度ch并i治s兇of忘g感re協(xié)at錘p啄ra括ct作ic肺al送a免pp勵(lì)li守ca殘ti喚on途.諒Th棕e銷LE肢D烏di社sp惕la辯y駛dy釣na宗mi吉c勝sc鐘an歌ni濾ng框w催ay慎c過an聲a忽ls器o脾sh祝ow語ed嬌f域ou葉r刃16物×層1硬6嗚do萍t沸ma雁tr惕ix品c煮ha憑ra劫ct淋er之s,切c莫an送a相l(xiāng)s瓶o醒be演t促hr基ou少gh夫t帖he孫P較C列so灑ft扔wa場(chǎng)re壽m爭(zhēng)od舍if某y雪th少e縮co統(tǒng)nt愚en音t肢of原t偽he足s密ho肌w視an推d北sh躬ow鍋t汽he訪e慚ff情ec卸t燦of脊t嘴he容a猶nd碑s凱o恰on眨.順Th汗e繭ch身ar哨ac葉te茫r作en在co困di毒ng聞s勁to露re創(chuàng)d每in瀉f凈re駛e讀mi理cr弱oc蠶on滔tr艘ol準(zhǔn)le藝r已pr存og浴ra槳m匹me控mo姥ry密s棗pa難ce乎,映ma訂ke是t摩he碑L現(xiàn)ED燒d榮is顆pl窄ay糠s晶ys管te皇m京ca卻n不po吧we逆r朽l(xiāng)o明st業(yè)s奪to鍬ra花ge霸1耗02嘆4贊ch蘆ar賓ac任te方rs板.源Ap裝pl腰ie晚d賺to令t歷he頂d梨es任ig寨n鳴of涂t陰he臺(tái)i案nt椅er宗fa追ce獵s艱ta側(cè)nd錘ar帖d陶GB暫23泥12絹S欺PI席d禽ic疑ti傳on獲ar聾ie獅s,微s剩up被po巨r(nóng)t勤f廈or茶a飛ll沃o滔f雄th器e斑co寇un酬tr炭ie白s扶an歌d黨AS松CI蔥I亭ch礎(chǔ)ar揚(yáng)ac毀te永rs后o劉f芬ch伶ar拴ac旨te錫rs寶t煩ha滔t轎st慢an轉(zhuǎn)da醒rd均.塵Be講ca斧us洋e耗th簽e喘se虧ri伏al襯t扎ra睬ns狗mi阻ss柱io盞n般wa踐y,夸s孟o醫(yī)th參at儀t雜he獨(dú)s擇ys病te祥m貼sc吹al翁ab譜il嘗it猜y央ge鏡t優(yōu)pr驚om授ot圍ed礎(chǔ),宗be涌h虜el笑pf掀ul違f火or現(xiàn)m腫or屬e撈di快sp挑la撤y花un舟it宣c沃as串ca協(xié)de飽.誠(chéng)Th傲ro喜ug離h盈th晌e昂Ch揉in瞞es省e制ch孤ar輸ac州te浸rs估f鏟on景t壺do堤t以ma慎tr撲ix凈o框f歷da苦ta擋g驚en加er坊at勻ed懇s威of晌tw玩ar犧e,擇a咱ch廚ie釋ve依t快he截e顏ff睬ec顯t床of談d遭ot涂m胞at尿ri喉x擺ch習(xí)ar臣ac所te潮rs升,肥an決d豈ap園pl爸ic茄a(bǔ)t君io碼n砌of拌t壯he知M努CU跟a捎ss暮em蜻bl陣y敬la眉ng功ua悠ge徒c滾on易tr啊ol念li額ng鑄o擊pe離ra惑ti梅on雁.諸Al京so宏c拒om議pl淘et弦ed宣t嚼he證r街ea丸l奴el授ec援tr害ic央c喜ir全cu諸it童,六an撿d趙Pr相ot怎eu旦s銜so愁ft威wa倡re壤i往n誕ba絡(luò)se碼d哲on口t顏he趣r貢es糧ul煮ts如o奔f筋si甩mu砌la萄ti勒on迷,伸th植e平do戒t芽ma旋tr礙ix拋c軋ha萄ra離ct玻er堤s種ge自t就de進(jìn)pi吸c(diǎn)t砍ed軟.得Ke守yw女or件ds種:何dy鮮na務(wù)mi樣c士di辭sp祥la添y;紀(jì)S香in微gl合e套ch雷ip園m士ic些ro長(zhǎng)co遠(yuǎn)mp止ut糕er滾;德Bi醬tm需ap臣f脅on呀ts搜目錄賓1彈LE蝕D辭概述茫5劍1.膨1葉LE招D壽電子四顯示迷屏的格基本醉概述小5須1.恥2區(qū)LE砌D究電子榴顯示扮屏的柳分類姑7許2愚顯示鋼原理部及控日制方掌式分茅析樸8鋸2.逃1渡LE旺D詠點(diǎn)陣墾模塊胸結(jié)構(gòu)緣8扣2.劍2娘L(fēng)E桑D莫的基攻本知候識(shí)恨9承2.估3L煎ED主的動(dòng)譜態(tài)顯中示原曾理弦11拒3披硬件脆電路億設(shè)計(jì)妙12趴3.弓1扯系統(tǒng)氣硬件嫩概述釀12籍3.稅2謝1寇6×止16崇LE躬D布點(diǎn)陣吐顯示參制作帶14壽3.奇2.訂1擴(kuò)1侍6×霉16仙LE裹D茫點(diǎn)陣程的內(nèi)氧部結(jié)弱構(gòu)及痛工作榮原理痛14扒3.克2.潑2蛋用療8×菜8L訂ED陰點(diǎn)陣窄構(gòu)成釣16莊×1繡6L倍ED剝點(diǎn)陣劉16納3.恨3A儉T8爭(zhēng)9C充51忍的接積口說憐明當(dāng)17仗3.妙4且LE聞D鍬硬件冶原理核圖協(xié)20西4羅字模測(cè)的生定成夠22我4.后1狼字模槳簡(jiǎn)介似22片4.方2若字庫糖生成裁23狡5踩軟件毯的設(shè)元計(jì)輔25誤5.紋1再程序繼設(shè)計(jì)屯總體伙思路滲25肢5.鏡2能程序商流程只圖礎(chǔ)25膨5.吵3廣各個(gè)睜模塊桶的程爆序設(shè)尤計(jì)字26溜5.晉3.扁1業(yè)LE雕D絨動(dòng)態(tài)腳顯示愿的程弓序娘26村5.鼓3.念2珠系統(tǒng)刺初始晴化的托程序鏟27轎5.喇3.恭3姓漢字說顯示僅的原倒理街27擔(dān)6大效果父圖測(cè)云試至29飄6.民1因?qū)嶒?yàn)右顯示洞效果略圖遇29追6.今2臨實(shí)驗(yàn)直程序捎35塌總篇結(jié)游42嫂致謝原43裕參考造文獻(xiàn)砌44亞第一端章胳LE諷D嘗概述事一、樣緒論喬LE浴D顯躺示屏鐘(L情ED甜p逮an辦el濕)安:私LE祥D(穴Li康gh度t獨(dú)Em咽it賺ti增ng閱D少io介de盞)槐,寬同時(shí)慮也是煌發(fā)光見二極坑管匆的英蝕文縮圈寫劇,簡(jiǎn)鬼稱振為喪LE式D帶,減它蹦是一為種能罩將電盾能轉(zhuǎn)搖化為擁可見案光的枝固態(tài)紋的半庸導(dǎo)體學(xué)器件打,適則祖它箱也螺可以悉直接警把電號(hào)轉(zhuǎn)化漂為光咽。野它的剩作用寨是用槍來顯今示文莫字、茂圖形城、圖分像、財(cái)動(dòng)畫算、行神情、宜視頻重、錄錢像信開號(hào)等揭各種給信息撒的顯監(jiān)示屏歸幕。啊LE隙D份的紹主要驕核心究是一土個(gè)半嫩導(dǎo)體筍的晶套片,介晶片躁一端嗎是負(fù)鈔極,宴另一濱端連條接電誠(chéng)源的妥正極伶,它性可以模使整框個(gè)晶熱片被俗環(huán)氧六樹脂惰封裝德起來鮮。只LE煎D顯誕示屏盆可以既分為錄圖文曉與萬視頻澇顯示振屏,農(nóng)則它霞們慨均由士LE補(bǔ)D己的矩色陣塊辯組合梨而咬成。叼在堡圖文桿的偏顯示撒屏允當(dāng)中鍋:它道可與擊計(jì)算隊(duì)機(jī)同帥步顯斷示宋出來蔑漢字鑄、英送文文勵(lì)本和渣圖形蟻等等仔;視券頻顯燦示屏鎖它可究采用章微型去的計(jì)柱算機(jī)匪來進(jìn)葉行控冠制,流圖文縫、圖餃像并辣茂,播使之像以居同步燙、清畫晰的歷信息饒傳播士播放快出來查,信禁息,貌同時(shí)憶可顯譯示二載維、僑三維結(jié)動(dòng)畫尊、錄躲像、嚴(yán)電視襯、V互CD懸節(jié)目海及現(xiàn)征場(chǎng)實(shí)汪時(shí)狀付況拖。L湖ED昆顯示亮屏寨它的談畫面壟特點(diǎn)扯:憑畫面溜的喊色彩朗鮮艷牧,立拖體感奧覺攏強(qiáng),獄靜如趣油畫孕,動(dòng)具如電誦影,躲應(yīng)用摘十分濾的危廣泛登,例米如,這應(yīng)用傷于車位站、勢(shì)碼頭南、機(jī)大場(chǎng)、府商場(chǎng)滑、醫(yī)艇院、鉆賓館額、銀銳行、斤證券瀉市場(chǎng)粥、建柱筑市沈場(chǎng)、欄拍賣寸行、族工業(yè)怕企業(yè)票管理訪和其潮它公衡共場(chǎng)濕所快等等賤。悄LE河D棋顯示砌屏齊發(fā)光娃的感基本太原理糾:它飾的闊半導(dǎo)符體晶泉片犯是荒由兩諒部分頭組逗合而樹成事的既,釘它的漁一部三分是供P型撓的高半導(dǎo)絡(luò)體燈組成掠,在占它里斬面珍的歸空穴同占主利導(dǎo)領(lǐng)的銀地位授,另鼠一端僑是N刃型話的湯半導(dǎo)者體塊組成述,在暴這邊序主要扇是電陽子。編然而勻這兩爪種半掩導(dǎo)體鏈連接容起來胞的時(shí)莊候,榆它們城之間旱就從會(huì)洲形成荷一個(gè)歲“P賽-N寒結(jié)”六。當(dāng)麻電流林通過改導(dǎo)線封的獨(dú)作用膚于這桿個(gè)晶隊(duì)片的泊時(shí)候胞,電退子阻將北就會(huì)幅被推桿到抖P區(qū)燙,在促P質(zhì)區(qū)里酷電子?jì)D與贏空穴予結(jié)尸合,執(zhí)然后凈就緒將員會(huì)以蟻光頓子的糞形式摟發(fā)出部能量比。押二、偶LE品D電獄子顯擱示屏雀的基鉗本恩概述氧多個(gè)腥L標(biāo)ED冶發(fā)光優(yōu)燈組斜成固坡定的昆字符眾或圖屯形從方而進(jìn)述行顯洞示,搞則可惰形成幅LE相D點(diǎn)洪陣水圖文爸的嫂顯示炕屏愿效果懂。覺它的唱主要礙特?zé)c(diǎn)脖是只撿控制疏LE需D霜點(diǎn)陣鹿中種發(fā)光方器件交的通瞎斷(概比如黨:筍發(fā)光毫或熄廣滅)搖,顛它則腸不控節(jié)制L碌ED子發(fā)光冷的冤強(qiáng)弱尿。施在振LE半D汁點(diǎn)陣騾漢字漿顯示辰的元方式擁中凳是先丘根據(jù)閉所需鼠要的支漢字氧提取恩出來鴿漢字?jǐn)[點(diǎn)陣由(如共16曾×綁16飄點(diǎn)槽陣)食,將概點(diǎn)陣啊文件董存入麻RO守M套,從箏而形隨成新痕的漢趟字編頓碼;枕在使扮用時(shí)疊候,你需要電先根檢據(jù)乓它撈新的掀漢字疫編碼您組成熱語句氏,再賭由M心CU鉛根據(jù)樂新編限碼提少取相戰(zhàn)應(yīng)的向點(diǎn)陣赴進(jìn)行者漢字?jǐn)z顯示慈。觀由于座LE抄D依有很修多的直優(yōu)點(diǎn)駱等等極,愁所以缺在市旗場(chǎng)中寸也貓得到鳴了廣士泛的垂應(yīng)用院,乎它的蕩主要蚊應(yīng)用桐領(lǐng)域知有:份(1乎)省信號(hào)繞指示印應(yīng)用欠如鈴:信危號(hào)照鼓明豎它主聽要幟是帥以經(jīng)LE跑D咽單色掌光應(yīng)尤用比急較的估廣泛費(fèi),同師時(shí)也者是比佳較早泄的一筋個(gè)領(lǐng)碎域兼。芬(2濫)強(qiáng)顯示偶屏的牌應(yīng)用氣如車:指植示牌漸、廣共告牌而、大我屏幕芝顯示經(jīng)等炎等筋,障其看LE是D毯可蓬用于今顯示妄屏幕據(jù),而病它的康顯示歉屏幕自又融可分能為單旦色和棍彩色然。棒(3膝)閉照明臟的肅應(yīng)用嘩如脾:便先攜燈趣具,撈汽車渣用燈主,特剃殊照每明濱等等祥。由廈于L拐ED孔的柳尺寸答比較姐的捏小,生可以蹲方便娃它的計(jì)動(dòng)態(tài)嚷的亮兄度和置顏色傍控制棄,所遍以,酷比較歌適合慈用于而建筑講裝飾裹照明醋。背遞光照鹽明:少普通悅的撲電子漸設(shè)備守功能結(jié)則達(dá)顯示帖背光蹲源、屈筆記功本電通腦背垮光源聾、大楚尺寸表超大循尺寸腎LC紹D顯啞示器悉背光辯源等虛LE唐D電扯子顯望示屏?。↙貸ig蔽ht毅E打mi端tt所in虧g落Di艘od狗e脾Pa跌ne化l)怎是由咐幾百端到濁幾十延萬個(gè)姜半導(dǎo)座體發(fā)宅光二列極管拍像素霞點(diǎn),宮它是洞按矩逐陣均堤勻排顧列組兔成。秒它可嫌以杠利用業(yè)不同獵的半數(shù)導(dǎo)體俯材料蠶,磚制造鎮(zhèn)出來雖不同龍色彩肺的L俊ED膊像素艘點(diǎn)。轟在充目前味應(yīng)用構(gòu)最廣他的是百紅色扣、綠慰色、笨黃色芹。稍但是速藍(lán)色狼和純廢綠色畫LE罵D的塌開發(fā)肯已經(jīng)韻達(dá)到窯了實(shí)綠用脅的弱階段移。L角ED艦它的良顯示粉屏是錢一種福通過凱控制壓半導(dǎo)都體發(fā)各光二疑極管腐亮度吩的挪方式斷,從股來顯家示瓣出來殃文字炒、圖造形、痰圖像許、動(dòng)逮畫、賊行情四、視凝頻、懼錄像衰信號(hào)待等軌等況各種孕的圓信息惠顯示濕屏幕音。串LE晴D顯顆示屏透可確分為痛圖文緞顯示禽屏和扯條幅絞顯示寫屏,經(jīng)均由踢LE駕D矩久陣塊類組成瞞的住。姻它的凝圖文產(chǎn)顯示逐屏可鞋與計(jì)金算機(jī)歷同步竟顯示挑漢字艘、英返文文缺本和釀圖形患;而腹條幅觀顯示認(rèn)屏則銳適用析于小綢容量種的字買符信椒息鞏的縮顯示范。L缺ED斯顯示賺屏趟是赤因?yàn)樨浧湎駜?chǔ)素單膜元是系主動(dòng)恨發(fā)光再的,妖它哨具有估亮度欣高,冶視角屯廣、逢工作趣電壓伍低、糧功耗籠小、運(yùn)壽命錯(cuò)長(zhǎng)、貨耐沖芹擊和粱性能擱穩(wěn)定論的尊優(yōu)點(diǎn)瘡等等紛。因滿而被眉廣泛效應(yīng)用沾于車習(xí)站、趴碼頭慮、機(jī)擾場(chǎng)、趁商場(chǎng)筒、醫(yī)孤院、主賓館史、銀織行、貝證券殲市場(chǎng)洲、建掏筑市少場(chǎng)、忌拍賣經(jīng)行、褲工業(yè)稠企業(yè)串管理妄和其熊它公居共場(chǎng)筒所逗等等樣呢淋。蘋LE崗D廁顯示遷屏的柿發(fā)展性前景撤及其借的圣廣闊括,夜同時(shí)昆目前喚正朝棟著更呀高亮愛度、景更高毯氣候短耐受蘇性、煩更高賠的發(fā)翠光密齒度、受更高芬的發(fā)淡光均湯勻性泉,可別靠性永、全運(yùn)色化久方向素的烘發(fā)展鼻。堤三、鼻LE隆D電宜子顯漸示屏崗的分辮類柔(1交)父按顏?zhàn)锷蔚姆浞诸悡?jù):炭單基伯色屬的秘顯示密屏:咳單一礙顏色輝(蓬如升紅色炸或綠匪色)猜??揠p基告色躬的巾顯示兵屏:近紅和惱綠雙北基色鉆,婦二百簡(jiǎn)五十連六節(jié)級(jí)灰趕度、矮可以著顯示脆六萬描五千頓五百賀三十兼六燒種顏動(dòng)色。確袋全彩圖色喝的觀顯示頌屏:感紅、鵝綠、歌藍(lán)三修基色侄,驗(yàn)二百塊五十秘六學(xué)級(jí)灰撐度的漲全彩房色顯競(jìng)示屏存可以徑顯示餓出范一千動(dòng)六百灶多萬去種顏詳色。欲緞(2挖)打按顯半示器序件分蝦類辰:錄LE約D數(shù)顆碼踏的償顯示剪屏:莫顯示瘋器件捉均宅為7攜段碼橡數(shù)碼司管,具它爛適仍用臭于制懼作時(shí)鮮鐘屏監(jiān)、利支率屏邁等起等銜,顯優(yōu)示轟出鉆數(shù)字昏的電毒子顯本示屏統(tǒng)。測(cè)LE壯D點(diǎn)鴿陣圖博文胃的聾顯示長(zhǎng)屏:飾顯示末器件膨是由害許多眨均勻黃排列山的發(fā)芬光二超極管直組殲合而愁成的灰點(diǎn)陣袖顯示篩模塊浴,適箭用軍于播掩放文倦字、壤圖像遼信息銷。炮LE短D視叫頻治的顯亞示屏敬:顯秒示器長(zhǎng)件是勁由很衛(wèi)多發(fā)貍光二跳極管越組寺合而夏成史的,補(bǔ)可以賢顯示瞞視頻椅、動(dòng)敵畫等建等踐各種冬視頻帆文件驅(qū)。此(3聞)柴按使鋸用場(chǎng)襲合分故類幻:三室內(nèi)斗的舊顯示忠屏:跑它的沙發(fā)光悼點(diǎn)較執(zhí)小,劉一般砍Φ薦三毫芒米到胳八毫撇米抹,鍵它的勝顯示侄面積騙一般澤是有陜幾至那十幾株平方舅米。指技室外性的炸顯示笑屏:扮它的煮面積輕一般隆幾十劍平方繡米至型幾百顯平方礎(chǔ)米,冤亮度紅高,制可遠(yuǎn)以銷在陽與光下教工作客,具呢有防假風(fēng)、誼防雨軌、防答水功流能。舟擾(4栽)驗(yàn)按發(fā)秒光點(diǎn)眉直徑橫分類皆:拜室內(nèi)矛的英屏:擦Φ臨三毫頁米剝、翼Φ逢三點(diǎn)螺七五井毫米擁、歷Φ也五毫艦米顫、睬室外北的掛屏:夜Φ理十毫慎米拳、撞Φ觀十二盯毫米蚊、駕Φ順十六駁毫米孤、復(fù)Φ聚十九救毫米抗、翼Φ紅二十繼一毫電米執(zhí)、演Φ片二十般六毫現(xiàn)米叨室外女屏煎的堤發(fā)光涼基本正是由繁發(fā)光遭筒兇來完賴成的似,發(fā)崖光筒訓(xùn)的原濟(jì)理是案將紅陡、綠兵、藍(lán)特發(fā)光比二極兵管封阿在一訓(xùn)個(gè)塑畫料筒舞內(nèi)惠一起返發(fā)光予增強(qiáng)蒙亮度顫?;蛩男Q顯示僅方式酒有靜犬態(tài)、雖橫向哨滾動(dòng)壯、垂咐直滾嘉動(dòng)和看翻頁回顯示蝴等幟等尋。污第二極章瘦顯鈔示原系理及盾控制遮方式噴分析巖一、車L盈ED換點(diǎn)陣掉模塊番結(jié)構(gòu)畜組合隱型如的透LE胳D點(diǎn)達(dá)陣顯拉示器藍(lán)模塊果,沙是銹以發(fā)爆光二慢極管絹為蘿基本搖的顫像素稀,它慕利用經(jīng)高亮貫度發(fā)荒光二腸極管哨芯歲片點(diǎn)榨陣列脹組合腿后,賊在按打照野環(huán)氧扶樹脂厚和塑羊模封杏裝而垃成。遼這種堂LE逗D模浩塊廣添告牌再的優(yōu)溜點(diǎn):堡具有腥提高康系統(tǒng)蓬的穩(wěn)時(shí)定性查、可社靠性祥、以買及童高亮瞧度、往引腳路少、史視角紋大、攏壽命孝長(zhǎng)、雄耐濕去、耐贏冷熱禿、耐匯腐蝕票等特貓點(diǎn)。蛙常有愿的顯吸示器則件規(guī)容格尸:P添H1肅0,篩PH取14具,P蔽H1皆6,奪PH莊20稻,P頓H2者5等毯多種纏像素尋管。壁LE年D點(diǎn)哄陣身規(guī)模販常見喚的府有4血×4縮、4桿×8雜、5鋪×7觀、5爆×8誕、8襯×8腹、1贏6×亡16商等等決。晚LE役D眼像素留顏色詠可分賣為單折色、耳雙基扭色、侵三基麗色等號(hào)。搖它沃像素偶顏色朋的柱不同愛,所經(jīng)顯示肆出來既的文株字、鑄圖象理等內(nèi)辭容的心顏色圍也不麻同。胖LE仗D環(huán)單色磚點(diǎn)陣政只能劑顯示枯出離固定日的簡(jiǎn)色彩欠如紅浮、綠罷、黃蠢等單嫩色,腥而舊雙基走色訂與飄三基丘色點(diǎn)掃陣凳所嫁顯示填出來凈內(nèi)容衛(wèi)的顏仿色辟主要蓋是瘡由像泥素嚷內(nèi)部番顏色揚(yáng)不同行的飽發(fā)光環(huán)二極訴管嘉點(diǎn)亮來組合銳決定狠,單例如染紅綠泛都亮吹時(shí)顯蠶示黃婆色,崖但是誕按照炒控制皆脈沖助方式嬌二極戀管的禿點(diǎn)亮距時(shí)間散,望同時(shí)純可實(shí)梅現(xiàn)2某56祝和沈更高桃級(jí)嫩的搬灰度珠顯示勒,即蚊可實(shí)貸現(xiàn)真倚彩色閣顯示導(dǎo)。灘如將圖2爸.1感,2稅.2卻,2忠.3室顯示兆出最弊常益見妹的錄LE墳D宿8×甚8單昂色叨點(diǎn)陣廢顯示茂器籍,它啦內(nèi)部昆的笑電路塌結(jié)構(gòu)逐和外兔型規(guī)踏格俱。如圖2.1如圖2.1如圖2.2煮圖罷2.日3斤8×意8單鮮色L跟ED恰模塊嘴內(nèi)部嗚電路檢結(jié)構(gòu)前LE穗D點(diǎn)膚陣顯葬示器贏單塊變使用蕉時(shí),廈既可闖代替受數(shù)碼孩管顯撒示數(shù)賄字,休也可貧顯示雷各種怪中西展文字怒及符葬號(hào).閣如5恢x7債點(diǎn)陣財(cái)顯示嗓器用譯于顯攝示西喪文字訪母.模5×父8點(diǎn)屠陣顯辜示器鳳用于懸顯示三中西廟文,朋8x鐵8點(diǎn)推陣速可以勇用于暗顯示釋簡(jiǎn)單朋的沖中文蒜文字瘡,也咽可用誘于刷簡(jiǎn)單目圖形張顯示賭。用寒多塊杏點(diǎn)陣穗顯示葵器組血合則慮可構(gòu)舊成大津屏幕奮顯示丑器,馳但這演類實(shí)托用裝砍置常預(yù)通過感PC定機(jī)或愧單片驚機(jī)控蒜制驅(qū)獲動(dòng)幟。尚二、膽L日ED襖的天基本硬知識(shí)杠目前土,薄常見辛的是匠并行借傳輸些的投方式辣,直它將夏通過躍8位艦鎖存騎器藥,朋將8廟位總壯線上目的列覺數(shù)據(jù)準(zhǔn)進(jìn)行杏了蒼鎖存發(fā)的書顯示販,陪其中斥各爪8位壇鎖存蛙器的遠(yuǎn)片選紗信號(hào)證是燙由譯創(chuàng)碼器悠來進(jìn)僑行階提供阿的它。躺其中在這續(xù)種方慘式椒的妻優(yōu)點(diǎn)埋是傳瓶輸速達(dá)度賄比較斷的關(guān)快,喂它狹對(duì)微躲控制旨器M萌CU浸的通茄信速需度要查求羊比扒較低叢。但賣是這選種方薯案最莖大的饑缺點(diǎn)養(yǎng)就土是不歌便于身隨意棗的團(tuán)擴(kuò)展考顯示券單元鏈的數(shù)償目。票每增較加一晝個(gè)芬16床*廉16眉點(diǎn)陣喝全角徹漢字臂的龜顯示吳單元質(zhì),就圣會(huì)盞需要揮在之永前的告電路仰上多勇增加追上介兩全個(gè)導(dǎo)地址敗線,愁這就高要求鹿在P哨CB期布線置的時(shí)挑候要腐留有日充足甚的地鑰址線群的良冗余者量。私它的濾另顫一個(gè)子缺點(diǎn)皇是,社每躲一鼠個(gè)單娃元的露PC縮B顆也虧隨著疏安放著位置宿的不吊同,由則枯布線親結(jié)構(gòu)曉也剛有所繭不相噴同,棄同時(shí)選也盞不利壓于廠近家批諒量生盞產(chǎn)。備它比并行利傳輸億需要識(shí)的芯禿片農(nóng)比吹較澇的簡(jiǎn)多,峽因此廚市場(chǎng)湯上已凈經(jīng)出尺現(xiàn)侍了丸用F打PG即A,絲CP計(jì)LD譯等拉等高恐密度返的孫可編嗚程邏戒輯器慘件P撞LD斯來取供代臥了湯傳統(tǒng)賣的凝鎖存例器I鵲C的鑄方案文。徹則邪成本謀有所疏下降莊,但誠(chéng)是烤可擴(kuò)分展性矩仍舊縣比餅較嫌的脊差。勺所以謊,并庸行及的翁傳輸碗方式市適用純于顯柏示單昏元數(shù)法目確毫定的窄條屏約。灣發(fā)光指管說中透最主前要的顛部分歉還朽是發(fā)稍光管止內(nèi)板部馳的發(fā)而光管詞芯結(jié)所被選用此。服目前隨,現(xiàn)閘在匹中高中檔發(fā)比光管謠的曠管芯燦生產(chǎn)輕廠家渡主要訊有日繪亞公志司,歷豐田樣公司謹(jǐn),美火國(guó)的隨科瑞能公司醬,惠陪普公餡司,銷德國(guó)型的西磚門子敲公司拼,臺(tái)互灣的悲國(guó)聯(lián)役公司塑,鼎味元公做司和多光磊童公司明,其襲中美省國(guó)及閃歐洲建的公廳司肆都隨主要寇是青以生圈產(chǎn)純鎖藍(lán)純論綠發(fā)殖光管貨芯昆片張為主昏,卷但是耕臺(tái)灣核公司隨它獵則以須生產(chǎn)存紅綠徹管免的列管芯聲為主速,從弦目前刪的實(shí)匹際應(yīng)墳用貨以序及紅注綠色隊(duì)彩搭打配補(bǔ)來勵(lì)看,抹一紅耕四綠會(huì)其中糊紅管打采用圈的是陳四元膜素的看紅,峽但蔥綠管翼采用約的是月三元麻素的糕綠,罪同時(shí)價(jià),1鈔、頂在管代芯的滅使用現(xiàn)上建響議用捧紅管睡的塞管芯乎臺(tái)灣附國(guó)聯(lián)強(qiáng)公司廚的7企12飽SO提L,漿綠管怎的崖管芯膚采用初臺(tái)灣寇鼎元殊公司花的1即13塞YG嫌U。震它的濱這種掃管芯臨則核采用亂及搭隔配是更目前職雙基口色室橡內(nèi)顯斗示屏蜂配置腎較高詠的面。煤另外喬還有裂兩脅紅有控一縮純綠讓的配旨置觀。頑2、匠集成川元器假件

久句不在委光電呢驅(qū)動(dòng)瓦電路極中乖接收鞭計(jì)算咸機(jī)傳高到?jīng)_分配搞卡中槍的數(shù)跨字信鋒號(hào)菠可以畝驅(qū)動(dòng)疤發(fā)光陳體的啞亮與啊暗,抵從而幸可以迎形成妥我們霞所夸需要香的文咸字或分圖形腥,元它的儀質(zhì)量支是否錦可靠頸穩(wěn)定梁,偉可以愚直接扇的漫決定鬼了發(fā)思光體臺(tái)能否請(qǐng)正常暴的饅工作吳,從袍目前案的秩室外支屏的比運(yùn)行等來看水,故雕障率逼出現(xiàn)式最多亮的地障方滿就在忍光電種驅(qū)動(dòng)慢部分慣,因富為程它含所選絲用的冒集成暢IC騰器件祝的質(zhì)柜量沃使之唐直接張的召?zèng)Q定飼了光惠電驅(qū)解動(dòng)部日分質(zhì)蛛量。題3、瞧電源部榆粱一般評(píng)公司于使用求的電寧源要倉求保該證在虧5年卻時(shí)尖故障葵要?jiǎng)诘陀诔?%粒,腔那么凍它輕每年傾故障晃要諒低于糧0.籠02蘋%,凝其中開電源扭質(zhì)量珠的好招壞在濾其元洞器件準(zhǔn)的篩偏選燃當(dāng)中煤和電除源生斥產(chǎn)廠店家的蜜質(zhì)量器控制適當(dāng)中貢。般目前頌,電維子頂市場(chǎng)品上電伯源的上品牌魯也療是敞比較奇多導(dǎo)的消,鍋它的澡國(guó)產(chǎn)迅的有那常州舟創(chuàng)聯(lián)屈,思租達(dá),錢上海摟衡孚總等掉等鹿,堂它的林進(jìn)口妥主要叫來自慎臺(tái)灣踏明偉存,差從實(shí)鍛際蛇的恥使用下上看膜,義我們段國(guó)產(chǎn)栗電源幫常州徑思達(dá)舒的電塊源穩(wěn)屆定性勁比較昏的馬好,境使用縣壽命迅比較割長(zhǎng),霧而康且電茅源品壽牌比搭較響嗚。重在敢本顯較示屏伶公司害可透采用捕通過倒3C靠認(rèn)證陣的電士源禮。型4、呢生產(chǎn)伴工藝咱和施棗工工訓(xùn)藝

康甚瞧它的收系統(tǒng)們的工槐藝主壟要是統(tǒng)焊接捎工藝堂和安尖裝工籌藝。殿對(duì)荷于民焊接制工藝佩來講嬸,術(shù)它的董顯示鑰系統(tǒng)盈有多陵達(dá)1泳0萬很個(gè)焊品接點(diǎn)鋸,但其中鋤一個(gè)塔虛焊突就可丸造成候出步至少逼一個(gè)跟象素倚筒的督不亮將,袖所以升,吃焊接雜工藝時(shí)是尤十分耐重要絹的愁,制則園我芝們鎖目前科采用箭先進(jìn)斬的波帝峰焊幸接,唉它的扔整個(gè)錢焊接炸過程膚均崖是淋由設(shè)撕備朵來非完成檔的耐,虹它乘以避盾免人班工的泰過失粗。假滋如且室外露的尸顯示捉屏,生就應(yīng)澤對(duì)屏羞體的亮安裝勉工藝鋼提出并了更劈高的臘要求別,味然而蘆要求側(cè)屏體叮的整桿體結(jié)蔥構(gòu)抗繳臺(tái)、嗚防水時(shí)、防斤高溫牛。替它訴這是夕主要繩由于癥系統(tǒng)證工作繡時(shí)間膏長(zhǎng)、朵功耗握量捷大溫同時(shí)趙,職再加績(jī)室外向工作梢環(huán)境蹲相對(duì)湯惡劣抄,股則斬要求繩屏體拜具有侄一定貞防高幕溫、助防塵陵、防乞霧功填能捷等等登。弓它的欺顯示旬屏面鵝積在拒20律平方通米放以上昂的雜時(shí)義侯屢,建恩議將最好察采用冒雙支茫撐,蹦但是頭在賺20腿平方六米宣以下覽時(shí),舒建議器最好師采用額單體業(yè)支撐完、廁它的硬顯示前屏是玻安裝蝴在電胸廠的更第二倚大門探上有處天然遼的支禮架。城它的微顯示杜屏的紙整體斬結(jié)構(gòu)悶采用羨了拖鋼結(jié)僻構(gòu),虎對(duì)涼外立遠(yuǎn)面采弟用臺(tái)醒灣吉淺祥工油業(yè)鋁姐塑板濾包邊哭。廚同時(shí)食,跌為了予它呀保證傳顯示橡屏結(jié)遺構(gòu)的插安全最可靠宜,建奔議漁最好百結(jié)構(gòu)慶的設(shè)支計(jì)與趟施工跟由有聽專業(yè)歇施工混資質(zhì)屠的企株業(yè)仗來淺完成日。

掀慘三、蠻或LE否D的野動(dòng)態(tài)奮顯示鵝原理何LE弦D雷點(diǎn)陣毅顯示饞系統(tǒng)栗當(dāng)簽中各村個(gè)徹模塊櫻顯示朗的鞠方式御:有扭靜態(tài)帆和動(dòng)圖態(tài)顯政示兩罪種釀效果尚。略國(guó)內(nèi)互的L柳ED飽點(diǎn)陣俯顯示條屏它爸的大糕部分缸都是蠶單顯笨示型平,其榜中顯子示的津內(nèi)容船相對(duì)屯的較震少,索顯示皺花樣宇也比友較的瓣單一弱。在侮產(chǎn)品賭出廠勝時(shí),月顯示慣的內(nèi)蘇容就垂已輸擺入顯魄示屏袋,控坐制系遇統(tǒng)當(dāng)因中的濫EP需RO怕M芯抖片內(nèi)龍,在你當(dāng)需伯要更徐換顯伙示內(nèi)雁容時(shí)擇就非狂常的滾困難致,從短而這廊樣使隙該類丙型的鏡顯示羨屏使賄用范良圍受室到了探很大唉的限憶制。唐而國(guó)粒內(nèi)的擊另一險(xiǎn)種L相ED摟顯示少屏可美編程海序型挖LE掌D顯印示屏秀,它局雖然琴增加泳了顯敲示屏異系統(tǒng)玩的編損程能襪力,獄使之啄顯示梨的內(nèi)滑容和拖顯示篇花樣址都有討所增闖加,求但是襪也存揪在著斷更換喝顯示邪內(nèi)容塌不便喝的缺吧點(diǎn)。著點(diǎn)陣墊式L宇ED流漢字份廣告株屏網(wǎng)它劫絕大提部分倉是奴以盈采用贊動(dòng)態(tài)角掃描跌顯示掠德常方式邁,至同時(shí)圖用健這種推顯示券方式童巧妙羊地利者用了陰人眼擇的視釀?dòng)X暫介留特奇性魔,不將攝其過連續(xù)氧的幾敢?guī)嫳M面高片速的鑒循環(huán)摩顯示煩出來冶,榆它宅只要產(chǎn)幀速晉率高炸于廚二十睡四通幀/炭秒,儉人眼憑看起括來就零是一訂個(gè)完慧整的緣效果歡,藥使之式也暗相對(duì)丹靜止摧的畫忌面。商最典柔型的喉例子核:怠電影征放映艦機(jī)。音我們購以煌8×緒8徒的秒點(diǎn)陣討模塊崖為例頁,墻從而伍說明蔽一下削其使杰用方誕法及滔控制們過程桂。爆如涂圖2敘.1假中,戰(zhàn)紅色包德符水平閑線Y伶0、旺Y1褲……透Y7遣叫做籠行線鍵,槳它的幼內(nèi)部仰發(fā)光伶二極搏管孩接如的傘是核陽極慘,結(jié)它的第每一譜行8或個(gè)L減ED厲的陽三極都效接在女本行牙的行騾線上踢。疲同時(shí)總它的伐相鄰秘兩行色線間菊都是言絕緣訴。同簡(jiǎn)樣,覽藍(lán)色照豎直塘線X程0、本X1適……溪X7貓叫做鴨列線廳,接緊內(nèi)部歪每列呢8個(gè)躁LE伸D的茂陰極柱,相震鄰兩臟列線邁間絕信緣轉(zhuǎn)的夏。恒顯示籍屏的浴控制沈系統(tǒng)翅它主臟要包寧括了儲(chǔ)輸入室接口基電路怪、信碎號(hào)控雪制、淹轉(zhuǎn)換巧和數(shù)挺字化堵處理套電路并及輸好出接半口電戲路等瞎等,悅即涉超及的男具體厭技術(shù)品也是糊很多勇,其遵關(guān)鍵仿的技落術(shù)包淋括串呼行傳綿輸與懂并行纖傳輸獎(jiǎng)技術(shù)葉、動(dòng)匹態(tài)掃儀描與借靜態(tài)卻鎖存認(rèn)技術(shù)削、自悠動(dòng)檢乒測(cè)及藝遠(yuǎn)程劃控制青技術(shù)勸等等者。雜第三蛋章瓜硬伏件電斷路設(shè)臭計(jì)郊一、常系省統(tǒng)硬扎件概冠述亂整個(gè)暈電路弦是督由單季片機(jī)膽AT慘89驢C飾5扭1,等8個(gè)閥74兆LS元2清73篩,1泳個(gè)7闊4L蓮S1顆38改,1朽個(gè)替74袖HC廊15飲4尊,4共個(gè)1話6衛(wèi)×偽16勞的L償ED音。腸該電嗽路所侮設(shè)計(jì)木的電柱子屏品可顯請(qǐng)示踩多丈個(gè)漢思字,悟需要泉4佩個(gè)吸16己×療16情L(zhǎng)境ED幣點(diǎn)陣債模塊梯,可類組成差16蜘×數(shù)64善的繁條學(xué)形點(diǎn)肝陣。唯AT慈89滾C偵5因1釋是一怠種帶謠4K駛B字軍節(jié)閃韻爍鹽可編騰程可捎擦除幻只讀款存儲(chǔ)刻器拳(F復(fù)PE快RO鏡M-助Fa龍ls者h(yuǎn)較Pr眨og姜ra哥mm瀉ab曾le瞧a澆nd釣E這ra維sa京bl卻e夾Re鵝ad男O評(píng)nl友y床Me因mo阻ry露)征的低蛙電壓伯,高恢性能耍CM印OS山8位拔微處守理器眨,俗宏稱單亞片機(jī)貿(mào)。單蝶片機(jī)本的可摸擦除暖只讀崖存儲(chǔ)喪器可如以反鹽復(fù)擦肚除1佳00抵次。阿該器酬件采斷用A侵TM鈴EL向高密笛度非圖易失甚存儲(chǔ)訓(xùn)器制線造技斃術(shù)制挎造,悶與工憲業(yè)標(biāo)拌準(zhǔn)的館MC熔S-費(fèi)51存指令做集和炸輸出下管腳陡相兼懼容。慎由于迅將多顫功能饒8位密CP禮U和智閃爍廟存儲(chǔ)輔器組番合在山單個(gè)錄芯片率中,亂AT粘ME錢L的億AT漲89獎(jiǎng)C脈51循是一逮種高甘效微懸控制搏器,霉AT驗(yàn)89旦C越20扎51耳是它鄙的一仿種精盯簡(jiǎn)版榆本。福AT抵89僑C討51徒單片慢機(jī)為宜很多異嵌入腎式控男制系次統(tǒng)提掏供了筆一種臟靈活溪性高獸且價(jià)潤(rùn)廉的跪方案犁。A覆T趁89包C拔51微引腳蓄即外館觀如惜圖等3.慈1所爭(zhēng)示。如圖如圖3.1AT89C51的管腳圖潔譯碼扭器是決組合充邏輯誘電路門的一造個(gè)重衡要的格器件事,7逢4趴LS斯13工8的驗(yàn)輸出鴿是低翁電平單有效新,故蜜實(shí)現(xiàn)禽邏輯融功能爹時(shí),毫輸出襪端不霜可接鬼或門塑及或統(tǒng)非門并,獲74埋LS競(jìng)13絞8與求前面紐不同愧,其乒有使疫能端瘡,故班使能赴端必衰須加繳以處節(jié)理,娃否則雅無法劑實(shí)現(xiàn)糾需要截的邏行輯功霜能。訴發(fā)光昏二極座管點(diǎn)堪亮只渾須使馬其正述向?qū)Чν炊纯?,冰根?jù)誕LE抗D的垃公共雀極是匹陽極班還是放陰極量分為座兩類最譯碼服器,軍即針蘋對(duì)共樹陽率極的自低電寄平有大效的方譯碼岡器布;壁針對(duì)爐共陰群極L島ED弱的高樸電平戀輸出議有效昨的譯橡碼器縫。夫74概LS粘2叮73拿是低醬功耗扶肖特租基T噸TL變8D微鎖存卡器,孩內(nèi)有顆8個(gè)園相同氧的D警型(劈三態(tài)炒同相喬)鎖允存器副,由向兩個(gè)清控制族端(薪11扭腳G而或E備N;批1腳弦OU消T、地CO倦NT溝、O古E)傅控制淚。當(dāng)卡OE夾接地尿時(shí),埋若G易為高忍電平槳,7洲4L唱S3璃73畢接收寸由P戰(zhàn)PU旨輸出潑的地其址信喜號(hào);駁如果辣G為盈低電卸平,常則將屯地址狹信號(hào)燈鎖存啊。工雪作原差理:積74傭LS焰37貧3的秧輸出磚端O光0筒—峽O7道可直乞接與鳥總線即相連花。當(dāng)娘三態(tài)亂允許閱控制壺端O集E為揚(yáng)低電冰平時(shí)旋,O鍵0扛—南O7參為正輸常邏投輯狀醉態(tài),棉可用炕來驅(qū)圖動(dòng)負(fù)河載或瘦總線綢。當(dāng)念OE似為高棉電平獲時(shí),沙O0君—栗O7扒呈高浩阻態(tài)線,即脅不驅(qū)瞧動(dòng)總固線,蠅也不戴為總補(bǔ)線的逗負(fù)載慰,但讓鎖存柏器內(nèi)貨部的拳邏輯嚼操作爺不受那影響情。趙當(dāng)鎖炊存允孩許端哪LE封為高鉤電平沙時(shí),校O隨緊數(shù)據(jù)連D而漏變。行當(dāng)L硬E為村低電漿平時(shí)皮,O總被鎖糾存在艦已建徐立的倘數(shù)據(jù)窄電平昌。松74催LS鑄37韻3投引腳輪即外懶觀如淺圖鴿3.深2龍所示培如小圖3造.2亂74情L(zhǎng)S婚37纖3引嗎腳圖訓(xùn)74奪HC詳15罩4為傳類似會(huì)74巷L(fēng)S俊13烤8的喇譯碼港器,叉它的伯內(nèi)部戰(zhàn)是繁4脾線-旨12勤驗(yàn)線譯舍碼器董,如搭果揪選通亂端(再G1核、形G2娛)為稠低電仔平時(shí)農(nóng),可眠把擦地址窩端(愈AB師CD飽)味的二號(hào)進(jìn)制道編碼沒在一袋個(gè)對(duì)爆應(yīng)的遷輸出旦端以浪低電仁平譯洗出。課如果親將乓G巧1市和核G別2嚼中的畝任意篩一個(gè)束作為還數(shù)據(jù)蠢輸入望端,坊則旁(橡AB截CD通)尾對(duì)輸工出尋浸址,奇同時(shí)若還可蜘作蔥1顏線-巨16綢月線數(shù)古據(jù)分釣配器魯。壽一般性工作它環(huán)境鹿的當(dāng)溫度狡為寬0貞~腿70跌℃族。首L作ED嘆,封50斃年前秋人們默已經(jīng)向了解計(jì)半導(dǎo)泡體材腔料可點(diǎn)產(chǎn)生躬光線萍的基氣本知怪識(shí),鞭第一隔個(gè)商宰用二習(xí)極管搶產(chǎn)生植于1攤96花0年饅。L貼ED食是英趙文l尖ig津ht燕e消mi壁tt除in倆g木di掩od堡e(五發(fā)光避二極隱管)嘗的縮攪寫,況它的休基本爸結(jié)構(gòu)平是一來塊電默致發(fā)朽光的捏半導(dǎo)捎體材惜料,贏置于飯一個(gè)扎有引汪線的提架子利上,倦接著界用廊四周準(zhǔn)環(huán)氧普7控樹脂激密封魂,副也是膏固體尸封裝穿,所統(tǒng)以能衫起到澤保護(hù)乞內(nèi)部秋芯線鋤的作尖用,爆因此池LE訓(xùn)D的戴抗震冰性能敬好垂,悟該電衰路的渴顯示吹采用駕逐行什掃描聲方式舍。拴當(dāng)廟工作越時(shí),膝它獲由單詳片機(jī)朱提振取出閉第一塊行需年要顯嫁示的漏內(nèi)容勞經(jīng)貪過脾延時(shí)叛一段榮時(shí)間拌后再鐮進(jìn)行座下一打行點(diǎn)愚陣數(shù)泉據(jù)的暈顯示泥。速二、毫1測(cè)6×藏16日LE晃D句點(diǎn)陣小顯示銜制作膜(一賠)瞧1希6×然16齊LE拖D蓋點(diǎn)陣帳的內(nèi)鞭部結(jié)建構(gòu)及疑工作草原理胖我們竹先以招UC偽DO半S中孔文宋槍體字來庫為封例,詳每一爐個(gè)字形由1君6行疤16雀列的潔點(diǎn)陣抵組成拖來進(jìn)置行顯顯示慨。即桿國(guó)家擊標(biāo)準(zhǔn)誠(chéng)漢字損庫中端的每段一個(gè)廉字均謝由2罵56惰點(diǎn)陣?yán)讈肀砀嗍?。謀可以脖把每彈一個(gè)商點(diǎn)理腔解為暫一個(gè)囑像素救,您把每正一個(gè)千字的除字形毛理解谷為一適幅圖賞像。船事實(shí)刪上這街個(gè)漢積字屏宜不但旨可以豆顯示截漢字示,穗而且醬也可女以顯岡示在靠25須6始像素踩范圍呀內(nèi)蠶任何廣圖形段。這告里我柴們以畢“秒龍腰”廣字說殃明,邀如圖嘩3.駱3童所示饒。如圖3.3如圖3.3龍窗用8敗位的桂AT帳89妖C喪51棍單片附機(jī)控預(yù)制,久由于合單片唉機(jī)的講總線請(qǐng)為8檔位,鋼一個(gè)鐮字需旺要拆浮分為啦2個(gè)斗部分運(yùn)。一煩般芹把它級(jí)拆分槐為上訴部和埋下部樣,斤上部禽由8姨×裂16滴點(diǎn)陣臟組成拘,睛下部濱也僵是思由8亞×勇16次點(diǎn)陣護(hù)組成朗。此遼例中子單片浴機(jī)首潛先顯活示的濫是左殿上角已第一誘列的費(fèi)上半是部分掘,即胡第0竹列的鄰p0儲(chǔ)0摧—楚p0塘7村口。湖方向笛從麥p0拔0到眉p0開7解,作顯示懇漢字釘“峰高捷”松時(shí),捷p0咐2點(diǎn)在亮,對(duì)由上哪往下某的順濫序排計(jì)列,朋為劍p2曲.0增暗滅,醬p2最.1扭滅回,金p2仰.2禁滅絨,歐p2嘗.3嗎滅喜,荒p2懶.4蜓箱亮,炕p方2梯.5該亮統(tǒng),p轉(zhuǎn)2蔬.6香滅懂,p災(zāi)2藝.7悠紫滅。齊則忙二進(jìn)國(guó)制失為0大00大01營(yíng)0抖00平,轉(zhuǎn)勁換為張十六蘭進(jìn)制濫為匹0駁8般h。叫上半葬部第顯一列倘完成嫂后,素則營(yíng)繼續(xù)侵的水掃描置下半煤部的卸第一掙列,報(bào)為了職接線面的方德便,欄我們貨仍設(shè)棒計(jì)成揉由上搬向下克掃描柄,也詢就是鵝從p額27深向p防20棟方向擋掃圍描,項(xiàng)從滴圖那3.澡3拜可以掉看到別,這姻一列懂全部協(xié)為不凳亮,楊即為咸00皮00互00反00為,信十六絨進(jìn)制礦則為飯00慚h。艷然冷后單婚片機(jī)躺轉(zhuǎn)向張上半包部第吉二列宰,皺應(yīng)用辨這個(gè)皮方法典繼續(xù)恩掃描蝦往下岔讀二添進(jìn)制膛數(shù),差得出幅漢字浙“洪龍枕”的夸掃描糟代詢:假00墻H,仙08泳H,焰08玻H,賀08唉H,幣08房H,砍08悉H,標(biāo)0F弄FH斗,巡08信H,覽0F且H,扭48錫H,渡28嫩H,匠0面8直H,耀08源H,置18紫H,燃08渠H,哪00話H擋00物H,判00閱H,櫻02怠H,蹤06硬H,辛30制H,等0C肢4州H,梁04顏H,粘08乳H,靜0F鉛C腔H,詳24追H,慰4捐4珍H,嫌84年H,明04廟H,播04塘H,由3C歪H,隨00敵H鉆由蒙以上趙的弦這個(gè)思原理寬可以貨看出浴來鉤,無患論家是院顯示謝何種摟字體構(gòu)或圖腦像,嘗都可辦以用蚊這個(gè)沉方法紫來影進(jìn)行較分析刻出鐵來它袖的掃拜描代肚碼從軋而顯捏示在樓屏幕歇上面徐。防只碼不過梯現(xiàn)在餅有很幟多現(xiàn)喉成的晚漢字珍字模館生成桶軟件手,就努不必池自己舉去畫奪表格龜算代設(shè)碼了聰。斷(二擋)障用恭8×盟8L粉ED干點(diǎn)陣幼構(gòu)成的16耽×1種6L寬ED墊點(diǎn)陣野在析Pr舅ot樹eu冊(cè)s中肺只有挺5×夫7和委8×遇8等伶LE耀D跡點(diǎn)陣套,飽沒有略16聰×1廟6L據(jù)ED傅點(diǎn)陣寫,桶但是災(zāi)在實(shí)承際療的栗應(yīng)用代中,牛要鬼更柔好地濁顯示竟一個(gè)怒漢字芳,則移需要深的是希16密×1餐6額的亞點(diǎn)陣板。下急面用介紹咽如何必用脂8×妻8點(diǎn)轉(zhuǎn)陣構(gòu)廊建1蹤6×羊16江點(diǎn)陣羨的方廉法,詳同時(shí)史構(gòu)建丟一塊扯16可×1味6趕LE固D點(diǎn)辭陣,騰用于獸本例漢的顯吊示任演務(wù)。拘首先盤,遇在崖Pr曠ot鬧eu接s隊(duì)的嶺元件才庫中夠找到剛“M接AT伍RI貌X-椒8X制8-船BU杰LE善”皂元器就件,罰將四淚塊該蹄器件運(yùn)放入伙文檔薪區(qū)編晃輯窗研口據(jù)當(dāng)皺中。蓋這時(shí)腸候應(yīng)狐該材注意惑,龜如果田該器告件保渣持初爪始的超位置雁,猴首先謙將其跡轉(zhuǎn)動(dòng)個(gè)并將退水平除放置笛,寇使它壞左面蔑8個(gè)餐引腳移是瞎行線桐,右激邊8撥個(gè)引潤(rùn)腳是瘋列線匯。厭然后紫將四姨個(gè)元爆器件約對(duì)應(yīng)會(huì)的行虹線和柜列線田分別畏進(jìn)行屋連接漸,使勵(lì)每一憲條行撓線引臂腳接踩一行津16奧個(gè)L牲ED睜,列轎線也雖相同湯。并庸注意昨要將綁行線患和列老線引旺出一綁定長(zhǎng)鑄度的挨引腳冶,以縱便下克面我傅們使喂用戶。闊連接什好的秀16縱×1材6點(diǎn)放陣如浙圖革3.卵4所襪示。如圖3.4點(diǎn)陣模塊組合暑成如銷上圖簽的1炭6×排16應(yīng)點(diǎn)陣居只是聾第一紛步,棄這樣欣分開驢的數(shù)齒塊并焰不能奴達(dá)到悔好的嬸顯示浩效果給,盾下面繩我們特要將身其進(jìn)艘一步綿組合弟。組宣合實(shí)處際上授很簡(jiǎn)塞單,逗首先株選中杏如上阿圖中土右側(cè)謹(jǐn)?shù)膬芍覊K8榴×8下點(diǎn)陣落,然賽后拖阿動(dòng)并襯使其雹與左驅(qū)側(cè)的強(qiáng)兩塊恐相并橡攏,針如未圖恥3.色如圖3.4點(diǎn)陣模塊組合汪又上稿可得鎮(zhèn)原來狡的連前線自插動(dòng)隱弦藏,季對(duì)于免線上虹交點(diǎn)辭,與最好棉不要爛去動(dòng)吳。暗最后論,括再來痰選中蔬下側(cè)龜兩塊奸的黃點(diǎn)陣裝,仙將其腦拖動(dòng)肌與上蘿側(cè)的觸兩塊惜并攏渴,最肅終的可效果燦如圖期3.扎6防所示覆。因基本皂上鑄原來基雜亂帆連線螞現(xiàn)在店全部甲隱藏這,誓那么傘一塊鴉16徒×1義6的州LE披D點(diǎn)蘋陣勸就完藏成了伍??〉峭☉?yīng)該中注意蘆,隊(duì)已經(jīng)建做成蹤的L庫ED叫點(diǎn)陣打的行擋線為怖左側(cè)賣的1箏6個(gè)查引腳且,其況行線哭為高伶電平通有效鞠,烈下側(cè)忍的1巡6需個(gè)引飄腳為傘其列紫線盛,列傳線為肯低電搶平有毒效。室然后銹,賊則8碼*8由LE牢D點(diǎn)泥陣構(gòu)遷成了徑16陪*1索6L絲ED們點(diǎn)陣誕。琴如圖秀3.兇5哲如歇圖3交.6鼓三、襯橫AT殖89撈C燥51燭的接斷口說思明綢在A尿T抹89皂C過51營(yíng)中有把P0摘,P猜1,碰P2耽,P匯3四鬧個(gè)口返,其施中1界8,昌19濃號(hào)引正腳它俗則提曠供外棒部時(shí)拘的管蛙教說睜明委:自P0獅口:臨P0客口是佛一個(gè)喪8位哀漏極哈開路步型雙竹向I丈/O軌口,充并且橋也是任地址租/數(shù)蒼據(jù)總爸線復(fù)優(yōu)制注的用嬸口,悶它翁為輸透入口恩時(shí),配每位挪能吸晝收8男個(gè)T愿TL撓邏輯灘門電俊流,繼對(duì)端睬口輸既入杠“碗1序可作干為高梳阻輸載入端傳。在課Fl坊as鳳h編敗程時(shí)枯,P刃O元口作哈為原挎碼輸旱入口任時(shí),諷此時(shí)午P0以口輸雪出原波碼,祖則P畫0外找部必文須被劑拉高禽。芽但是安在程神序校片檢時(shí)交,輸高出指嶄令字釋節(jié),育同時(shí)載,校醋檢時(shí)拍,必職須責(zé)外接之上拉夸電阻雁。柱P1蒼口:里P1壤口是評(píng)一個(gè)竹由蝴內(nèi)部造提供黑上拉仔電阻紡的8爺位雙信向I娛/O仙口,崇P1梳口的棋緩沖嘴級(jí)可彎驅(qū)動(dòng)王(吸染收或耗輸出寄電流顆)4威個(gè)T周TL巷門電漠流經(jīng)。對(duì)凡p1鋸端口銀輸入項(xiàng)“窮1也”跨后,考通過緣內(nèi)部塞提供釣的上修拉電蘆阻把弱端口垂拉到圣高電郊平,勒這時(shí)河它挽可作菊輸入溉口,扇P1采端口循被外慘部下圍拉為動(dòng)低電母平時(shí)嚇,這孔時(shí)它垃輸出議電流止,原替因是理內(nèi)部汪上拉滿的緣敗故貸作輸沒入口脅,倘此時(shí)疲因?yàn)闈M內(nèi)部窗存在沃上拉鵝電阻睬上長(zhǎng),錢它當(dāng)某中某斯個(gè)引千腳被背外部欺信號(hào)絞拉低霸會(huì)輸構(gòu)出涌電流蝕I。導(dǎo)P2豆口:日P2姓口是果一個(gè)慢內(nèi)部?jī)|帶有貧上拉先電阻疤的8端位雙愚向I頑/O侄口,縣P1紫的過緩沖蹈級(jí)可遼驅(qū)動(dòng)族(吸萬收或惰輸出倚電流裁)4它個(gè)T妥TL博門的巧電流砌。對(duì)昏端口愛輸入嫌“壇1共”括時(shí),竄內(nèi)部改的上葉拉電起阻芽可把報(bào)端口讓拉到存高電永平,避即可救作輸鏡入口泰,側(cè)當(dāng)而作輸日入口掛時(shí),踢因?yàn)檗r(nóng)內(nèi)部屢有疼上拉北電阻柜,胖則某舞個(gè)引舟腳被楊外部適信號(hào)溝拉低臟時(shí)輸釀出鹽電流穿I。漫P2傾口當(dāng)籮訪問偽外部孟程序去存儲(chǔ)膀器或瑞16貴位地械址外必部數(shù)秘?fù)?jù)存尋儲(chǔ)器精進(jìn)行尾存取影時(shí),絹P2估口輸然出高棋八位談的地命址。賓P3股口:面P3沾口是烏一個(gè)館內(nèi)部筒帶有浩上拉司電阻哄的8蔽位雙晴向I熟/O吧口。破P3油口常緩沖占級(jí)可旅驅(qū)動(dòng)伐(吸場(chǎng)收或疾輸出姜電流虹)4搜個(gè)T然TL親門的間電流蒜。對(duì)區(qū)P3盒口輸棄入幕“挨1端”考時(shí),賤它沖被內(nèi)玉部敢的汽上拉競(jìng)電阻命拉旋為桑高摔電平善,當(dāng)心它作歸為輸兩入端禮口,薪被外槍部結(jié)的古拉桌為脈低蔬電平檔,邊輸出鋼電流市I,社P3適口也壇可作破為A距T量89驅(qū)C剝51畜的一剩些特氏殊功糕能口償。拌RS各T價(jià)(9耀腳)職:漿作為置復(fù)位衡輸入蒜,當(dāng)脹震蕩腐器工打作時(shí)倘,R探ST達(dá)引腳知可同伸時(shí)笨出現(xiàn)涉兩個(gè)篇機(jī)器籠周期喇以上友的徒高電羨平將哥使單柿片機(jī)槳復(fù)位贊。死建議亡在這敗個(gè)腳握與V裂ss點(diǎn)引腳級(jí)之間歌接一吧個(gè)約知8.擊2千秤歐的推下拉爛電阻舊,同哄時(shí),霜與V奶cc亞引腳哀之間淋連接找一個(gè)防10師毫砝寸的電濟(jì)容,最這樣征子可且以保男證可計(jì)靠地襲復(fù)位牲。源HO腔MS謊制造魔工藝應(yīng)的8途05組1是蛇M(jìn)C創(chuàng)S-棒51功系列晝單片翼機(jī)的澇典型怖產(chǎn)品燭,其遵采用麻40月引腳緩的偉雙列美直插誰分裝錦(D塔IP東方式州),惹就如嚴(yán)上面淘圖。慕AL推E/環(huán)PR夕OG獻(xiàn):當(dāng)嚇訪問救外部校存儲(chǔ)戰(zhàn)器時(shí)多,A共LE湖(地肥址鎖劈存允煉許)找輸出享電平穩(wěn),用情于鎖肆存地就址的楚地位哭字節(jié)美。在辮平常竹時(shí),泛AL妻E端蛙以不北變的綿頻率策周期蕉可輸鋤出正考脈沖恒信號(hào)蔑時(shí)鐘籍振動(dòng)住頻率設(shè)的1腫/6抵固定般的正謝脈沖芝信號(hào)趨,因晶此它絨可歐作為疤對(duì)外逝輸出語時(shí)鐘討脈沖造或用萬于定見時(shí)坊的克目的勺。掃但是述應(yīng)該央注意靜的是叢:每習(xí)當(dāng)訪釘問外晃部數(shù)關(guān)據(jù)存爛儲(chǔ)器暗時(shí)癢,要額跳過豎一個(gè)攜AL磚E脈湖沖。綠/P娛SE敵N:油是一美個(gè)外雪部程仰序存代儲(chǔ)器懸的選瘦通信閣號(hào)?;鹪谕馍畈砍唐菪虼鏍€儲(chǔ)器秒的取數(shù)指時(shí)當(dāng)間,枯它的講每個(gè)脂機(jī)器播周期釀兩次扶/P邀SE良N有師效。燭如果扯在訪鉆問外哥部數(shù)探據(jù)存糾儲(chǔ)器視時(shí),剛這兩億次有堂效的喚/P松SE挑N信洽號(hào)則段不會(huì)綿出現(xiàn)旱???P敬SE資N同免樣可蠢以驅(qū)您動(dòng)八促個(gè)棒TT窮L門宵電路陰。拆VC備C:喂(4頃0腳權(quán))到供電劍電壓腥,接尋+5圓V電客壓蔥。運(yùn)GN距D:諷(2繁0腳廁)碑接地箏。緩XT并AL呆1:黨它是振一個(gè)堤反向政振蕩骨放大擴(kuò)器輸濱入和涂?jī)?nèi)部伙時(shí)鐘玉工作展電路荒輸入思。協(xié)XT別AL刻2:捕它是衣一個(gè)霞來自與反向蔬振蕩緣器輸益出。如圖3.7AT89C51管腳圖估/E洗A:槍當(dāng)/鄉(xiāng)EA循為高錫電平抹的時(shí)唉候,子可以瀉訪問沿內(nèi)部行程序斷的存睬儲(chǔ)器氏,但陣是當(dāng)霧PC玻程序猜計(jì)數(shù)誰器的溪值超丸過片仇內(nèi)程互序存稍儲(chǔ)器免容量鋸時(shí)候靈,就志會(huì)自堂動(dòng)轉(zhuǎn)吳向執(zhí)成行外桶部程也序存狼儲(chǔ)器舊。但掌是,材當(dāng)恥/E掘A保想持低斧電平辮的時(shí)舉候,赴則只品訪問必外部紛程序?qū)Т鎯?chǔ)券器,襲這時(shí)苗候不圈管是傍否有志內(nèi)部遙存儲(chǔ)如圖3.7AT89C51管腳圖理AL顆E:老當(dāng)它鞏訪問仁外部截存儲(chǔ)蠢器時(shí)倆,A謹(jǐn)LE挑允許肉地址刃鎖存睡,斬即使竹不訪神問外夢(mèng)部存密儲(chǔ)器胞,A梢LE雅可以帶任然工的以燃不變倒的頻培率周湖期出豬現(xiàn)正潔常的飲脈沖婚信號(hào)尤出現(xiàn)柴這個(gè)帖信號(hào)貞的振墨蕩頻迅率是罵它的贊1/修6注。它諒可以滋作為姑對(duì)外煎輸出劉的時(shí)嚼鐘,丘或者都用作考定時(shí)巡。禿P3謙.0夏R鮮XD促(串律行輸粗入口燙)糟P3犁.1蜂T肺XD孟(串暮行輸結(jié)出口紛)武P3路.2恥/看IN賞T0賠(外烘部中惑斷0護(hù))揪P3甲.3墓/竿IN粉T1弊(外貓部中少斷1佳)繪P3他.4駁T瞎0(斑記時(shí)亂器0下外部瞞輸入百)板P3堡.5蜻T灶1(傾記時(shí)瘡器1叉外部麗輸入柳)枝P3邊.6盈/絨WR關(guān)(外愛部數(shù)華據(jù)存全儲(chǔ)器毀寫選幼通)啞萍P3夫.7毅/藏RD頸(外混部數(shù)秘?fù)?jù)存奇儲(chǔ)器戶讀選示通)讀優(yōu)P3闊口同魔時(shí)為墊閃爍宗編程肆和編補(bǔ)程校離驗(yàn)接動(dòng)收一膊些控雪制信種號(hào)。級(jí)它們呈中的幸X鈔TA貸L1瞞和X披TA盈L2令分別多是究反向勉放大缸器的以輸入詳和輸迷出。兵這個(gè)順反向妄放大周器可慚以配夠置為爽片內(nèi)杰振蕩啊器。謙同時(shí)膨,勸石晶需振蕩穿和陶撐瓷振候蕩均柿可悉以瓦采用凳。譯比證如采堡用外糧部時(shí)鉛鐘源遵驅(qū)動(dòng)葡器件獵,爸這時(shí)懇XT福AL游2應(yīng)板不接冤。有此余輸距入至微內(nèi)部蹈時(shí)鐘仍信號(hào)辰要通州過一徐個(gè)二葵分頻環(huán)觸發(fā)騙器,熄這時(shí)美候則鄙對(duì)外謹(jǐn)部時(shí)娛鐘信產(chǎn)號(hào)的享脈寬數(shù)無任彈何要導(dǎo)求,盲但必約須??勺C脈依沖的紫高低摩電平愁要求箭的寬貧度。滲四、緊LE績(jī)D含硬件首原理拔圖欠左移巨動(dòng)漢爪字的撲的硬允件連蒜接原迎理圖如圖3.9如圖3.9設(shè)計(jì)二原理圖如圖3.8如圖3.8設(shè)計(jì)一原理圖水第四抽章梨字模拋的搶生成束一、融字閥模簡(jiǎn)揪介早漢字困的字挺模是始一組觀數(shù)字曲,而葡且意害義與竟數(shù)字摘意義獻(xiàn)有著細(xì)根本養(yǎng)的差相異,夕它是糾以數(shù)轟字云信息癢來記嶺載英某文或援漢字撥的形蕉狀。陡電腦庭硬件鹽中,壩即香沒有笨漢字刮這個(gè)罷基本京的勉概念滲,也顛沒有悶英文軟的概窮念,望其認(rèn)枯識(shí)的袍概念爺也只椒有般—木個(gè)炎內(nèi)碼頌將A葉SC食II鋤表的喜高1的28覆個(gè)很的少用檔到的蜓數(shù)值昂以兩逼個(gè)為叛一組捆來互表示蕉漢字銀,為濕漢字宣的內(nèi)貪碼。帆而剩繁下的寨低1挨28號(hào)位則杠留給償英文怒字符巷使用莊,為步英文必的內(nèi)杰碼反。近假如衡你用鐘啟動(dòng)炊盤匯的紋啟動(dòng)糧系統(tǒng)策后慚可辭用D譯IR踢命令姿有可纖能得秩到很燭多的剛莫名謹(jǐn)其妙史的字矛符,舌但微是搜那裝也是僚確確脹實(shí)實(shí)傅的漢毫字,如假如鳴你啟曉動(dòng)U拖CD把OS帽或者酸其他電的漢號(hào)字系駱統(tǒng)蘭之挖后,籠則那禁就會(huì)嶺看到券是一掛個(gè)個(gè)堆熟悉勒的漢糊字懸出現(xiàn)仁。稠而在蓬硬件阿系統(tǒng)爆當(dāng)中尺,關(guān)它的辦英文載字模膛的信巡息一婚般固乘定能在R召OM弟里盜面,疲即還扶沒有雜進(jìn)入坦系統(tǒng)勒的C貝MO斑S里朋時(shí),奶也可擾以使布你看道到英底文解的字烈符。撿但是廁在D照OS冒的簽下心面概,門其中儀中文鄭的字煮模信監(jiān)息碎都滑一般痛記錄平在漢型字庫杏的滑文件換里閑,它竊使制欠作好暮的字典模放漁到鳴標(biāo)準(zhǔn)蓄的庫可當(dāng)飲中,威這棗也統(tǒng)就是石點(diǎn)陣顧字庫項(xiàng)文件懼。乘就我謎所查忌的資剛料,融提取富字模差主要伸有以壁下幾插種方告法:迎第一針、控從字她模庫扮中直六接唐的進(jìn)智行提爹取。閱這種饑方法歐只濫限于徐字模賞庫,喪就養(yǎng)比如閑你需律要1溉6*肺16造的字笛模和無20妥*2冶0的移字模背,終那么沸你就收必須嶺去找曬到這啄2個(gè)冒字模臟庫。哨第二鼓、擁從專TT督F便庫中磨進(jìn)行摧直接從提取弊。這犯種礙方法利提取拘出來鄰的字甘模很荒光滑老,瀉它的蜂效果散很好險(xiǎn)。同瞧樣你聾需要部一個(gè)儀TT致F色庫,熱它是叮矢量徐的,經(jīng)可以疊支持像不同掩的大練小。遠(yuǎn)腎掏第三斤、尿可以弦在內(nèi)宜存的學(xué)位圖額上畫陰出文法字,律然后具在生犁成字饑模。畢這種裙方法魄寫出布來的悶程序燦則灑不需的要額架外的恨字庫庫文件析,研它將析依賴功于操末作系賓統(tǒng)中痛的字息體。椒但是院它的位一般財(cái)操作鋤系統(tǒng)騙中都溉帶有免大量杠的字馬體,益完全灰足夠南我們境使用客,還稻可以侵很自薄由的晝選擇脈自己斗喜歡蹦的字殿體、撤大小訴等等寫。獎(jiǎng)二、沿字鄉(xiāng)庫生燈成如圖4.1如圖4.1字模肝“嬌何武”疊的自劉摸代成碼為習(xí):充DB秒:痛0縱1H賽,0寶0H仙,0疑2H駐,0賄0H干,0鵲4H礎(chǔ),0蔬0H在,1依FH倘,0墳FF務(wù)H,陣0E讀0H內(nèi),0常0H松,2化0H逢,0昂0H價(jià),2邁7H滔,絕0F戀0H建,2烤4H樓,2姐0H鼓DB扶24胖H,餡20菌H,翠2F術(shù)H,遠(yuǎn)0F輕0H影,2留4H絞,0認(rèn)2H摔,2初0H醬,0歉1令H,銳3F澡H,眨0F壁EH等,6丙0H雕,0險(xiǎn)0H里,2菊0H闖,0鞏0H驅(qū),0楚0H怠,0伴0H截如圖辰4.疼2券字模罪“氣金啦”垮字代訪碼為竿:晨DB掉飛01麥H,搖00聾H,城01閉H,起02礦H,可02樸H,哭02絕H,郵04蒸H,局52健H,餐0A浸H,虎4A蘆H,顫12壽H,谷46陽H,辣22偵H,疏42鉗H,議0C查3H巷,0掌FE膜H叛DB紡宇22允H,事42求H,遮16朗H,水46款H,腫0A頂H,講4A釣H,逢04慶H,沫52集H,投02慨H,繞06千H,旅03迅H,樣02亡H,哲02暢H,屬00柜H,箭00慘H,子00華H害如圖抖4.效3宅字模宮“翅榮穗”遙字代已碼為膛:爽DB跳:正21獅H,落00晌H,征26獸H,價(jià)42持H,伍24嚷H,如42季H,咱24苗H,小44送H劇,或24刷H,決48即H,陣0F敗4H夢(mèng),5薯0H槍,2風(fēng)4H富,6喉0H毛,2思7H暈,0臭FF絞H化DB哄:饞24再H,鼓60蔽H,季0F墨4H雞,5繼0H幟,2罪4H嘩,4卷8H別,2甲4H垂,4雹4H已,歌24烤H,殃46滑H,袖65磚H,蒜44慧H,搶26興H,低00轉(zhuǎn)H,估00際H,禍00苗H谷第五刮章橋軟件仔的設(shè)劃計(jì)畢一、告程肉序設(shè)證計(jì)總節(jié)體思悟路始首先已,先夸使被用簡(jiǎn)溪短的貍匯編膏程序幟設(shè)計(jì)列,扭從而陳來進(jìn)未行對(duì)實(shí)現(xiàn)辮LE例D點(diǎn)趟陣紛的份顯示叔內(nèi)容駝,細(xì)同時(shí)扯并使回之接顯示嚴(yán)出來互的內(nèi)虎容在唇屏幕米上事面吊從左包到右逼的滾詞動(dòng)顯壞示滲出來誦。玉在側(cè)系統(tǒng)梢中寒采用英了才模塊巨化絮的形嚴(yán)式霉結(jié)構(gòu)諒,韻它居包括條了膀主程殖序、備延時(shí)戚程序唐、顯琴示子推程序貓和串飲行口招中斷裁程序梅。河系統(tǒng)濫硬件殖主要罩有三價(jià)部分三,上顆位機(jī)攏、手阻持發(fā)勵(lì)射機(jī)膏、接植收機(jī)基和顯圓示模鄭塊。眉上位努機(jī)是解指人偵可以湖發(fā)出推操控恥命令雹的計(jì)大算機(jī)淘,特PC掛,屏雅幕上桌顯示粉各種宜信號(hào)夠變化藍(lán)(。解下位矮機(jī)拋則它馳是直唐接控洗制設(shè)蔽備獲基取設(shè)沃備狀送況的厘計(jì)算頃機(jī),碼一般尖PL未C/那單片展機(jī)之去類的略東西征。彼標(biāo)準(zhǔn)宴應(yīng)用痛軟件巨豐富棉,接而口有陡很強(qiáng)絡(luò)的通協(xié)用性您,基悟于P艇C機(jī)紅的程蠅序有晶很強(qiáng)假的兼充容性殼和可僑移植暈性,寇性價(jià)擾比高奇。普因?yàn)槊咨衔豢顧C(jī)系貿(mào)統(tǒng)要激完成吉顯示車信息桐(圖貴像和稠文字晌)盒的錄紋入、蔑編輯戀及動(dòng)孔畫效孟果設(shè)別計(jì),逐所以雅,除輪了中偽心P餃C丑機(jī)外睬還必庫須配連備相花應(yīng)的村輸入涂外設(shè)茅,資比覺如掃搖描儀恩、攝播像頭逐、鍵碼盤等慮。網(wǎng)濁絡(luò)(拿IN炊TE龍RN錄ET牢)接燭口也姿是必超不可騾少的稍一個(gè)恭,這秋樣可也以實(shí)兼現(xiàn)信趙息的駐遠(yuǎn)程壓下載典和廣搖告系莫統(tǒng)的浩網(wǎng)絡(luò)漲化管蹈理。榴它以咽AT俗89他C赤51掀、7維4L莖S3取73災(zāi)、7咐4L識(shí)S1式38孫、7煩4H冰C1革54長(zhǎng)的傲芯片客和4據(jù)個(gè)1紐6活*遭16態(tài)LE演D游點(diǎn)陣斗顯示墻器形嫁成極了惹一個(gè)呈完整總的敏16恥位點(diǎn)鍛陣L伐ED貨顯示寫系統(tǒng)核。府還有方一個(gè)衫AT帳89得C則51究、還挽有兩壺個(gè)7寨4H房C1撒54注的芯親片和膊4個(gè)滲8*患8板LE完D仇點(diǎn)陣塵顯示鑒器形杠成顧了座一個(gè)充完整那的煉8頑位點(diǎn)籃陣L垂ED播顯示古系統(tǒng)脹。劇二、雷程啞序流尾程圖衡它的夜程序陡主要慘是斧由開罩始、飽初始您化、許主程柳序、勸字庫款組成害。其漆中工它們扛中第氧一個(gè)盼主壯程序乳和子柱程序幣的流麗程圖燥如圖放5.妹1爛所示擴(kuò)。瘡如毯圖5軌.1勵(lì)諸單片錄機(jī)漢撈字顯窗示程映序流亦程圖貧三、刑各蜂個(gè)模澇塊的汪程序是設(shè)計(jì)干(一鬼)、袍LE齡D動(dòng)遍態(tài)顯隱示的埋程序附它是鍋以騾顯示鎮(zhèn)要求淡的糞漢字濫顯示骨在紅屏上航,同廈時(shí)壁按從噸左到壓右的矮順序晚逐漸須的佳顯示盤出息來晚。輛如果到在模設(shè)計(jì)枯的惑時(shí)燥后便可采里用如趕下早的院方法傲:童首先狠,先抹將箏其乎LE辨D晶的催顯示腐屏對(duì)道應(yīng)顯勉示緩麥沖區(qū)旦全部綢清零獻(xiàn),能從而均使義L敞ED梳顯示樹出獄空白堡,掃然后頸,將方每領(lǐng)個(gè)途間隔廈的詢一個(gè)岡“軟夸定時(shí)瓣器”書設(shè)定勺為翻動(dòng)態(tài)絨的穩(wěn)顯示瘡時(shí)間自,誕則它史的搶顯示庭緩沖啞區(qū)能則勉依次貿(mào)的借加入悶一個(gè)朗漢字峰點(diǎn)陣?yán)鋽?shù)據(jù)誦同時(shí)蛾并進(jìn)愧行掃敘描顯跪示,剪就積這樣早可以貢達(dá)到燕動(dòng)態(tài)肉顯示非的效避果矮模塊栗。系從而蝦在鎮(zhèn)實(shí)現(xiàn)矮LE俱D從石左向園右移杰動(dòng)顯非示程擁序:器TI括ME煩0:劣IN畜C堆R5花CJ過NE延R宏5,息#3籃,N齡EX交T訊MO逗V鈴R5右,#身0湖IN惡C所DP駐TR隨IN制C跡DP款TR仁IN件C致R1勸CJ銜NE莫R凝1,元#1底44憲,N薄EX便T咐MO岔V伶R1晨,#湊0成MO彈V席DP但TR布,#殘TA便B占NE臣XT質(zhì):程MO市V賺TH偵0,灣#3佳CH值MO押V芒TL熔0,唯#0檔B0折H買RE麻TI動(dòng)(二猜)、的系統(tǒng)迷初始簡(jiǎn)化秒的程灶序窮OR勾G鄰00哄00紡H揚(yáng)AJ滾MP炒S靠TA保RT合OR嗽G盼00合0B達(dá)H寺LJ餅MP擦T原IM羽E0登OR冰G村00范30晝H鑄ST閱AR柏T:謠MO吼V佛R1些,#計(jì)00臥H悠MO棍V帥R2議,#構(gòu)00殖H某MO問V虧R3岡,#勁00息H憲MO證V完R4對(duì),#辛00伶H他MO步V凝R5捕,#鈴00禁H遞(三夾)、鈴漢字擋顯示橡的原買理建以中斷文菊的大宋體剖字庫鉆為例稻,術(shù)它是酷每一失個(gè)字芝由1靜6行鍬16剃列的稻點(diǎn)陣亦組成散來進(jìn)蕉行航顯示貼出來冒。國(guó)矩標(biāo)漢獨(dú)字庫境中龍每一咱個(gè)字疤均由牧25疲6點(diǎn)業(yè)陣來麻實(shí)現(xiàn)抵表示駛的鋼。我田們磨其實(shí)萄可以血把每例一個(gè)誰點(diǎn)理睡解為賠一個(gè)外像素億,捷從而幸也出把每打一個(gè)棗字落,的陽字弟形理吸解為烈一幅鍛圖像盾。售但是貧它兆事實(shí)尸上飲漢字港屏不歇僅可子以顯冊(cè)示毯出束漢字內(nèi),致同時(shí)張也可蝶以顯餐示束出握在2目56刻像素蹲范圍杠內(nèi)的詞任何吳的貸圖形晶。婦它的饒LE乎D點(diǎn)糞陣漢有字祥的差顯示繼程序序:扁MA嘴IN畢:動(dòng)MO除V猾P1價(jià),R毒2保MO博V崗A,脂R3饒MO股VC居A幣,@肺A+其DP鉗TR聞MO絞V孩P2刃,A優(yōu)IN羞C導(dǎo)R3寒MO境V淚A,栽R3蜓MO垃VC午A世,@博A+投DP古TR霞MO手V漲P0郊,A漲IN初C鞠R3都MO斷V紛P3配,R昂4盟LC霧AL盤L齊DE債LA均Y1泰MS嫌IN脂C疾R2猜CJ退NE問R殖2,逢#1蠶6,捏MA黎IN木MO盯V予R2牢,#恒0互IN畏C猛R4湖CJ蠻NE嫂R訂4,憤#3礙,坐MA頑IN今MO仆V蕩R3俯,#頃0看MO肌V培R4仿,#婦0劍LJ腎MP詢牽MA梳IN急第六甚章裁仿真蓄效果智圖啞測(cè)試尋附錄抵:莊在p局ro漸te槍us去仿真培軟件售中運(yùn)檔行測(cè)疾試爬AT摔89賀C煤51昆、杰74盞LS降37扎3押、質(zhì)74軋LS盡13區(qū)8狗、續(xù)74扯HC租15久4等中芯片洽和節(jié)LE憑D顯機(jī)示器構(gòu)均能掉正常勝運(yùn)行豬并完兆整的灶顯示癢出了混我所奴要的肆效果紫。裁所以賄各個(gè)張模塊萌功能堂正常簽。悅一、財(cái)實(shí)驗(yàn)軌顯示拐效果經(jīng)圖如圖6.1仿真前的原理圖狂如賢在仿襖真軟澡件笑pr洞ot嶄eu毯s狀當(dāng)拳中運(yùn)符行測(cè)越試系揪統(tǒng)決得尺整體唐功能女,一腳切兼都屈正常蟲。算同時(shí)懷實(shí)現(xiàn)草了漢煎字的萌向威左移捉滾動(dòng)挎的坡顯示眾,份從而獸完整作的顯怕示出垂來了?!敖靼簿G思源所學(xué)院屬”禾的帥浮動(dòng)菊如圖6.1仿真前的原理圖如圖6.2如圖6.2仿真后的原理圖桑如婆圖蹲6.騙3西是從框左向頓右的銳效果麻如建圖嫩6.則4炊是從刻右向綿左的絕效果墊如器圖卸6.杏5駁是從劑上到疼下的見效果蔽如圖滅6.魄6獻(xiàn)是從臟下到欠上的差效果未二童、槍軟件涂的歉調(diào)試群過程碎軟件場(chǎng)Ke吳il規(guī)u大Vi戒si盾on綱2拳的調(diào)會(huì)試頓過程吸(一礦)建耽立一遺個(gè)新憂工程三1)驚、單削擊政Pr調(diào)oj逐ec峰t順菜單殃,在秋彈出嫂的下鐘拉菜情單中簡(jiǎn)選中嶺N蛛ew鉆P達(dá)ro候je坦ct升選仍項(xiàng)。仍2)郊、然睡后選列擇你協(xié)要保桶存的低路徑頌,輸欺入工釋程文偉件的偏名字謊,比屈如保冶存到垂“螺HJ鼻R進(jìn)”飛,目透錄里醬工程彈文件捎的名便字為機(jī)捎“綠HJ賺R辛”機(jī),然劈后點(diǎn)冷擊保豬存。汁3)故、在粗彈出念的一根個(gè)對(duì)鍵話框捐中,竟選擇凍單片翼機(jī)的倡型號(hào)環(huán)AT鴨89跳C幸51千,然燭后點(diǎn)升擊確納定。筒(二綁)編蹄寫簡(jiǎn)換單程點(diǎn)序歇1)泉新建識(shí)文本須文檔妨貼在下扒圖中殺,單思擊略“改Fi外le貿(mào)”剝菜單桂,再摧在下寒拉菜扮單中斜單擊侮“燕Ne乳w練”疑選項(xiàng)能,單鉆擊菜駱單上饞的陡“際Fi墳le端”闊,在寒下拉殘菜單廚中選秤中敞“御Sa然ve鉆A恐s盤”迫選項(xiàng)壺單擊狼,在臭“項(xiàng)文件處名蓮”循欄右虛側(cè)的滑編輯雖框中林,鍵殃入欲貌使用提的文堆件名魚“紡HJ娘R混”羽,同另時(shí),嗓必須獵鍵入頁正確永的擴(kuò)掩展名牲”宴.c磁”壘,然磨后,妖單擊緣“忠保存聾”固按鈕嚷。源2)浙添加重程序三文件貞到工偉程文腰件中振睡回到創(chuàng)編輯贊界面喝后,急單擊瓶“械Ta懂rg役et需1全”級(jí)前面熔的標(biāo)“漆+顏”貪號(hào),囑然后駱在邁“騎So流ur貞ce修G賞ro縱up鋸頂1竟”腔上單絮擊右趴鍵,受然后沉單擊揉“棚Ad手d東Fi隱le采t脾o獄Gr餓ou猛p坡‘噴So纖ur盛ce醋G哭r(nóng)o閃up議1賊’”枕,趟選中料,踢然后尸單擊路“猶Ad歷d度”罵。感3)況編寫伶程序過,如瞎圖附燙錄一工(三醬)調(diào)堡試程膠序肝1)較單擊薪“贊Pr雞oj堵ec覺t慰”核菜單蘭,再服在下侮拉菜拳單中迅單擊傾“純Bu久il印t莫Ta才rg處et您”莖選項(xiàng)御(或散者使妙用快院捷鍵拘F群7)記,鏟編譯魯成功久后,均再溝單擊苗“槍Pr羽oj爪ec疾t忍”主菜單它,副在下駛拉菜關(guān)單中頭單擊椅“燕St親ar覆t/喊S辰to愛p趁De柔b管ug納S齊es些si擔(dān)on隙”術(shù)(或菜者使蛛用快循捷鍵推C懼tr膨l+栗F5羨)。病2)吧調(diào)試祖程序潛:?jiǎn)蔚謸羲盎贒e誕bu領(lǐng)g芝”添菜單析,在末下拉熄菜單腥中單歌擊茶“旗Go艙”綿選項(xiàng)鉗,或喝者使昌用快概捷鍵理F固5)啞,然贊后再它單擊蹄“冰De鑼bu翠g棍”忠菜單健,在麗下拉毅菜單婦中單望擊惹“白St隔op艘R燕un萍ni芝ng及”全選項(xiàng)肺(或晝者使薦用快率捷鍵登E蛛sc嚷);鄙再單森擊V旗ie閣w菜犧單,春再在狡下拉捐單中斥單擊甜“尤Se論ri撤al丑W阿in土do肺ws嶺#敵1油”跌選項(xiàng)勞,就益可以筆看到鍵程序央運(yùn)行治后的借結(jié)果說。應(yīng)3)撿生成角.H蒜EX殿文己件撈單擊炸“鬼Pr悶oj承ec無t車”描菜單疾,再蚊在下歐拉菜乒單中觸單擊鋸追“硬Op改ti毫on旗s睬fo烈r坡Ta扭rg償et即‘補(bǔ)Ta壩rg疤et花1蕩’”巴,隆單擊閱“端Ou堵tp瘋ut準(zhǔn)”擁中單初擊刻“盛Cr病ea跪te容H趁EX塘F破il仰e穴”揀選嶄項(xiàng),內(nèi)單擊蚊確定拔,使接程序吵編譯氏后產(chǎn)夾生錢HE您X隸代碼猛,供坦下載餅器軟佛件使召用。義把程哪序下今載到鈴AT討89亡S5提1單濃片機(jī)安中。絕至此砌,我痛們?cè)诜碖e既il封u月Vi怠si識(shí)on播2桃上做白了一估個(gè)完籃整工裙程的陵全過驚程。己二督硬件諷IS拜IS祖7答P柄ro治fe鋼ss仍io遇na衛(wèi)l登的調(diào)王試梯(一斤)進(jìn)謎入仔Pr如ot裕eu隱s械IS赤IS宴雙擊義桌面而上的穗I妄SI統(tǒng)S寄6川Pr餓of沃es碧si欲on良al孕圖傻標(biāo)或拼者單蒸擊屏冬幕左則下方邀的鍋“供開始頌”→戲“暫程序漸”→曾“宮Pr孤ot謀eu用s襖6挺Pr姿of練es州si躍on揪al貿(mào)”?!白S禁IS綁6觀P準(zhǔn)ro付fe仿ss詞io眠na致l精”歌。豈(二鴨)步更驟牽1)尺電路傘圖的充繪制釋于1的將所捏需元唯器件秩加入功到對(duì)幅象選絕擇器妥窗口殖。P房ic吉ki鋒ng席C敏om花po現(xiàn)ne詞nt傭s璃in怕to泉t弟he憶S池ch哲em經(jīng)at君ic視搖2收放置妥元器窯件至以圖形尸編輯餅窗口鍵P千la態(tài)ci符ng睬C舊om超po勸ne輕nt震s擠on銅to映t妹he暗燒Sc糞he系ma理ti系c黎3知仿真段危雙擊搜單片予機(jī)芯臂片,趣彈出憂對(duì)話肢框,磁在尚Pr原og浪ra球m員Fi鼠le隙選項(xiàng)臟內(nèi)添驕加.壘he省x額文件慣單擊禮預(yù)覽饅按鈕習(xí),選繭擇.絡(luò)he施x倘文件伶所在賤路徑秋,選耕中文販件,慈打開迅,單鴿擊暑OK壽,.頂he厚x陪文件甩即被價(jià)加載快到單虎片機(jī)袍中,輕然后藏單擊克Pl死ay信按纏鈕即哈可仿胃真。釀二、遼實(shí)驗(yàn)玉程序忠(1茄)走第一福個(gè)厘實(shí)驗(yàn)乘的設(shè)跳計(jì)弓程序怪:偽OR拳G綠00鐮00瞇H礦;初為始化刺壓溜疊AJ培MP烤S勁TA路RT基竭伐蹤OR咸G笑00材0B杏H奔屈兩謀LJ扁MP光T眉IM勤E0問診域熱OR層G杏00拆30砍H鋸ST怨AR凡T:孤MO寶V賴R1廉,#慈00奇H浴刑如佩MO密V懷R2桿,#欣00洽H坊阿矮唐MO瀉V饑R3倡,#撓00疏H以對(duì)終竭M(jìn)O眉V替R4港,#調(diào)00格H鵲均窄膠MO覺V駛R5刪,#古00近H絞搞滑嗽MO衣V瓦TM撐OD患,#斗01設(shè)H勻謠孤纖MO遼V燃TH針0,鐘#3里CH憐舍欠竭M(jìn)O責(zé)V病TL塘0,社#0饞B0喜H疾獄員萌MO植V安IE封,#贏82濫H睛輸歸第SE裕TB涉T高R0比甚造惑MO孕V蒼DP價(jià)TR禾,#夕TA捆B踢;=該==室==再==外==貍==左==收==塑=僚MA盲IN戒:逼MO菊V想P1宋,R削2訴;單鋪片機(jī)損輸出稼蠟獸搭MO瞧V抓A,記R3項(xiàng)烏賞惕MO斷VC就A觀,@棉A+污DP拋TR社老識(shí)局MO音V濃P

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論