sh79e02cv基于8051指令流水線結(jié)構(gòu)8位單片機(jī)_第1頁(yè)
sh79e02cv基于8051指令流水線結(jié)構(gòu)8位單片機(jī)_第2頁(yè)
sh79e02cv基于8051指令流水線結(jié)構(gòu)8位單片機(jī)_第3頁(yè)
sh79e02cv基于8051指令流水線結(jié)構(gòu)8位單片機(jī)_第4頁(yè)
sh79e02cv基于8051指令流水線結(jié)構(gòu)8位單片機(jī)_第5頁(yè)
已閱讀5頁(yè),還剩64頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

外部中斷0--模擬比較器兩個(gè)運(yùn)算放大器(代碼選項(xiàng)內(nèi)建的低電壓復(fù)位功能(代碼選項(xiàng)-fOSC=30kHz-4MHz,VDD=2.7V-LVR電壓-fOSC=30kHz-8MHz,VDD=4.5V-LVR電壓振蕩器(代碼選項(xiàng)看門狗定時(shí)器晶體諧振器:400kHz陶瓷諧振器:400kHz振蕩器失效檢測(cè)功能外部時(shí)鐘:400kHz Pipelined8051 Reset 2KBytes

P10-

P30-Timer1128128Bytes10-bitTwo

P1.3/AN4/INT1

P1.3/AN4/INT1Table4.1PinPin11-22334455667788-99-引腳命名中,寫在最外側(cè)的功能具有最高優(yōu)先級(jí),最內(nèi)側(cè)的功能具有最低優(yōu)先級(jí)。當(dāng)一個(gè)引腳被高優(yōu)先級(jí)的功能占用時(shí),即使低優(yōu)先級(jí)功能被允許,也不能作為低優(yōu)先級(jí)功能的引腳。只有當(dāng)軟件引腳的高優(yōu)先級(jí)功能,相應(yīng)引腳才能被釋放作為Table5.1P3.0-P1.0-IAN0-IIIIOIIO IIIOPPTable5.2編程引腳描述(編程模式162011P編程電源PII22O33ISH79E02內(nèi)置256字節(jié)的直接尋址寄存器,包括通用數(shù)據(jù)器和特殊功能器(SFR),SH79E02的SFR有以下幾種 中斷寄存器 ADCON,ADT,ADCH,ADDL,ADDH EECON Table6.1C51核BPTable6.2電源時(shí)鐘控制Table6.3Flash控制Table6.4DataMTable6.5看門狗定時(shí)器-Table6.6時(shí)鐘控制Table6.7中斷Table6.8端口Table6.9定時(shí)器Table6.10模數(shù)轉(zhuǎn)換器Table6.11運(yùn)算放大器Table6.12模擬比較器Table6.13EEPROMBCCPU內(nèi)核寄存器:ACCBPSWSPDPL棧指針SP是一個(gè)8位寄存器,在執(zhí)行PUSH、各程序調(diào)用、中斷響應(yīng)等指令時(shí),SP先加1,再將數(shù)據(jù)壓棧;執(zhí)行POPTable7.1PSWCP讀/讀/讀/讀/讀/讀/讀/讀/讀000000007C::6::500頁(yè)0映射到00H-01頁(yè)1映射到08H-10頁(yè)2映射到10H-11頁(yè)3映射到18H-210P::數(shù)據(jù)指針擴(kuò)展的'MUL'和'DIV'指令16位*8位16位/8CPU增強(qiáng)內(nèi)核寄存器:AUXC,DPL1,DPH1,AB(AUXC(AUXC商字?jǐn)?shù)據(jù)指針DPTR1與DPTR類似,是一個(gè)16位寄存器,其字節(jié)寄存器用DPH1表示,低位字節(jié)寄存器用DPL1表示。讀/讀/讀/00-0320SH79E02為程序代碼內(nèi)置2K可編程Flash,可以通過(guò)編程(ICP)模式和扇區(qū)自編程(SSP)模式對(duì)Flash器 若需編程器執(zhí)行該操作,必 支持(無(wú)安全位支持(無(wú)安全位寫/支持(無(wú)安全位支持(無(wú)安全位或固有分區(qū)個(gè)跳線將的編程引腳(VDD,GND,TCK,TDI,TMS,TDO)從應(yīng)用電路中分離出來(lái),如下圖所示。7E0提供(扇區(qū)自編程旦該扇區(qū)被燒寫,在扇區(qū)擦除之前不能再燒寫。--讀/--讀/讀/讀/讀/讀/--000000讀/讀/讀/讀/讀/00000讀/讀/讀/讀/讀/讀/讀/讀/00000000讀/讀/讀/讀/讀/讀/讀/讀/00000000Table7.7SSP流程控制寄存器讀/讀/讀/讀/0000Table7.8SSP流程控制寄存器讀/讀/讀/讀/0000Table7.9SSP流程控制寄存器讀/讀/讀/讀/0000Table7.10SSP流程控制寄存器讀/讀/讀/讀/0000SetXPAGESetIB_DATASetIB_CON1SetSetSetSetSector設(shè)置EWSC根據(jù)所選扇區(qū)設(shè)置如需編程填寫設(shè)置EWSC根據(jù)所選扇區(qū)設(shè)置::產(chǎn)生的基本時(shí)鐘脈沖提供系統(tǒng)時(shí)鐘支持CPU及片上設(shè)備。讀/讀/讀/讀/讀讀/讀/0110000170:fOSCS=1:fOSCS=00:fSYS=01:fSYS=10:fSYS=11:fSYS=430關(guān)閉內(nèi)建1打開內(nèi)建詳見(jiàn)代碼選項(xiàng)章節(jié)2::詳見(jiàn)代碼選項(xiàng)章節(jié)10::如果有需要,本位也可以由軟件置1或者清0。比如進(jìn)入掉電模式(Power-down詳見(jiàn)代碼選項(xiàng)章節(jié)-內(nèi)建外部時(shí)鐘:400kHzExternal47-47以上電容值可通過(guò)諧振器基本的起振和運(yùn)試,但并非最優(yōu)值 系統(tǒng)時(shí)鐘為了增強(qiáng)系統(tǒng)的可靠性,S7E0含有一個(gè)系統(tǒng)時(shí)鐘SM模。果統(tǒng)鐘現(xiàn)障(如:部蕩停振等內(nèi)建S模塊會(huì)將SLK自動(dòng)切換到內(nèi)部KzW(W鐘SIF。當(dāng)A和M位均被置時(shí),M模塊將會(huì)產(chǎn)生中斷。如果外部振蕩器恢復(fù)工作,M將會(huì)切換K到外部振蕩器,然后IF位自動(dòng)清。讀/04P1CRP3CR--讀/讀/讀/讀/讀/讀/讀/讀/00000000x=1,y=0-x=3,y=0-P1PCRP3PCR讀/讀/讀/讀/讀/讀/讀/讀/00000000x=1,y=0-x=3,y=0-P1P3--讀/讀/讀/讀/讀/讀/讀/讀/00000000x=1,y=0-x=3,y=0- 0=1=I/ODataReadPortData0:From1:Fromdata0=1=ReadPort優(yōu)先級(jí)功能(如果被允許的話),閉后,相應(yīng)的引腳才能用作較低優(yōu)先級(jí)功能。上拉電阻也由相同規(guī)則控制。91代碼選項(xiàng):使能運(yùn)算放大器21代碼選項(xiàng):使能運(yùn)算放大器2]=31代碼選項(xiàng):使能運(yùn)算放大器2]=312]=351代碼選項(xiàng):使能運(yùn)算放大器261代碼選項(xiàng):使能運(yùn)算放大器271代碼選項(xiàng):使能運(yùn)算放大器2]=381123]=4123]=4123]=441231代碼選項(xiàng):OP_OSC[2:0]011101或2]=321代碼選項(xiàng):OP_OSC[2:0]010011101或23定時(shí)器/計(jì)數(shù)器每個(gè)定時(shí)器的兩個(gè)數(shù)據(jù)寄存器(THx&TLx(x=0,1))可作為一個(gè)16位寄存器來(lái)。它們由寄存器TCON和TMOD控制。IEN0寄存器的ET0和ET1位置1能允許定時(shí)器0和定時(shí)器1中斷。(詳見(jiàn)中斷章節(jié))當(dāng)作為定時(shí)器應(yīng)用時(shí),可在定時(shí)器x(x=0,1)的時(shí)鐘源選擇寄存器中配置CLK_S1和CLK_S0兩位分別選擇32.768kHz晶定時(shí)器x的方式(x=0,方式0:13位計(jì)數(shù)器/定時(shí)器/====,(x01)置1時(shí),定時(shí)器x(x01)&+方式116位計(jì)數(shù)器/SystemSystemTheBlockDiagramofmode1ofTimerx(x=0,1&+方式28位自動(dòng)重載計(jì)數(shù)器/當(dāng)作為定時(shí)器應(yīng)用時(shí),可配置寄存器TCON1中的CLKSx(x01)位選擇系統(tǒng)時(shí)鐘或32.768kHz作為定時(shí)器x(x01)的時(shí)鐘源。CLKSx(x=0,1)位僅在代碼選項(xiàng)選擇了32.768kHz晶體諧振器時(shí)才有效。TheBlockDiagramofmode2ofTimerx&+方式3兩個(gè)8位計(jì)數(shù)器/定時(shí)器(只限于定時(shí)器1:Switch1:Switch&+Table7.18定時(shí)器x/計(jì)數(shù)器x(x0讀/讀/讀/讀/讀/讀/讀/讀/000000007,6,3,x=0,2,x=0,Table7.19定時(shí)器x/計(jì)數(shù)器x(x0讀/讀/讀/讀/讀/讀/讀/讀/000000007,x=0,6,Mxx=0,Table7.20定時(shí)器x/計(jì)數(shù)器x(x08AH-TL0TH0TL1TH1讀/讀/讀/讀/讀/讀/讀/讀/00000000TLx.y,THx.yx=0-1,y=0-7Table7.21定時(shí)器/計(jì)數(shù)器x(x0,讀/-讀/00x=0,定時(shí)器x(x0 --讀/讀/讀/--讀/讀/讀/00--0000763210讀/讀/-讀/讀/0-00-421 =定時(shí)器0/1的計(jì)數(shù)器溢出時(shí),TCON寄存器的TFx(x01)中斷標(biāo)志位置1,產(chǎn)生定時(shí)器0/1中斷,CPU在響應(yīng)中斷后,標(biāo)讀/讀/讀/讀/讀/讀/讀/讀/000000007,6,3,(x=0,2,(x=0, 0001等級(jí)10等級(jí)11IPL0IPH0讀/-讀/--讀/讀/讀/-0--0000IPL1IPH1讀/讀/讀/讀/000且中斷系統(tǒng)會(huì)產(chǎn)生一個(gè)LCALL調(diào)用其中斷服務(wù)程序,但由硬件產(chǎn)生的LCALL會(huì)被下列任何條件:

LongCallto InterruptVectorService

中斷服務(wù)程序從指定地址開始,到I指令結(jié)束。I序計(jì)數(shù)器(PCI指令非常重要,它會(huì)通知處理器該優(yōu)先級(jí)中斷服務(wù)結(jié)束。T這種情況下,當(dāng)同一優(yōu)先級(jí)或低優(yōu)先級(jí)中斷將不會(huì)被響應(yīng)。如果檢測(cè)出一個(gè)中斷,這個(gè)中斷的請(qǐng)求標(biāo)志位就會(huì)在被檢測(cè)后的每個(gè)機(jī)器周期被置起。內(nèi)部電路會(huì)保持這個(gè)值直到下一個(gè)機(jī)器周期,會(huì)在第三個(gè)機(jī)器周期產(chǎn)生中斷。如果響應(yīng)有效,條件允許,在下一個(gè)指令執(zhí)行的時(shí)候硬件L指令將調(diào)用請(qǐng)求中斷的服務(wù)程序,否則中斷被掛起。LAL指令調(diào)用程序需要+個(gè)完整的機(jī)器周期。當(dāng)請(qǐng)求因前述的三個(gè)情況導(dǎo)致硬件調(diào)用,額外的等待時(shí)間取決于正執(zhí)行的中斷服務(wù)程序的長(zhǎng)度。如果正在執(zhí)行的指令還沒(méi)有進(jìn)行到最后一個(gè)周期,假如正在執(zhí)行I指令,則完成正在執(zhí)行的I指令,需要8個(gè)周期,加上為完成下一條指令所需的最長(zhǎng)時(shí)間0個(gè)機(jī)器周期(如果該指令是6位操作數(shù)的V,L指令),若系統(tǒng)中只有一個(gè)中斷源,再加上調(diào)用指令7個(gè)機(jī)器周期,則最長(zhǎng)的響應(yīng)時(shí)間是8+2+個(gè)機(jī)器周期。=1MachineHigh-LevelThresholdLow-Level>1MachineLow-Level>2Machine--0(1234567模/數(shù)轉(zhuǎn)換器 1

1

讀/讀/讀/讀/讀/讀/讀/讀/0000000076(如果允許數(shù)字比較模塊54SCH0輸輸-讀/讀/讀/讀/-讀/讀/讀ADC時(shí)鐘周期tAD2001:ADC時(shí)鐘周期tAD4010:ADC時(shí)鐘周期tAD6011:ADC時(shí)鐘周期tAD8100:ADC時(shí)鐘周期tAD12101:ADC時(shí)鐘周期tAD16110:ADC時(shí)鐘周期tAD24111:ADC時(shí)鐘周期tAD322tAD(TS[3:0]+1)*tAD15舉例說(shuō)明系統(tǒng)時(shí)鐘30.530.530.530.5 30.5 30.5 --(tAD<1s,不推薦--(tAD<1s,不推薦--(tAD<1s,不推薦讀/讀/讀/讀/讀/讀/讀/讀/00000000CH讀/讀/00讀/讀/讀/讀/讀/讀/讀/讀/00000000——————等待GO/DONE0或者ADCIF1,如果ADC中斷使能,則ADC中斷將會(huì)產(chǎn)生,用戶需要軟件清0——————當(dāng)CMPEN和CMPIE寄存器置1,比較器輸出口的任何變化都可以產(chǎn)生中斷請(qǐng)求(CMPIF=1)。比較器中斷可以在空閑模-+Built-in讀/讀/讀/讀/讀/讀0000073210CMPO0當(dāng)CMPPCMPN和CINV0時(shí)CMPO1當(dāng)CMPPCMPN和CINV0時(shí)CMPO0當(dāng)CMPPCMPN和CINV1時(shí)CMPO1當(dāng)CMPPCMPN和CINV1運(yùn)放1OP1)輸出端口:OP1O,OP1負(fù)輸入端口OP1N,OP1正輸入端口OP1P。運(yùn)放2OP2)輸出端口:OP2O,OP2負(fù)輸入端口OP2N,OP2正輸入端口OP2P。Built-in讀/讀/0010特如果進(jìn)行下一個(gè)讀操作,請(qǐng)重復(fù)步驟3-8位數(shù)據(jù)寫入設(shè)置如果進(jìn)行下一個(gè)寫操作,請(qǐng)重復(fù)步驟3-Table8.7EEPROM讀/讀/讀/讀/讀/讀/讀/讀/0000000076543210Table8.8EEPROM讀/讀/讀/讀/讀/讀/讀/讀/00000000Table8.9EEPROM-讀/-讀/讀/讀/讀/讀/讀/-0000000讀/讀/讀/讀/讀/讀/讀/讀/00000000Table8.11EEPROM控制寄存器讀/讀/讀/讀/0000Table8.12EEPROM控制寄存器讀/讀/讀/讀/0000Table8.13EEPROM控制寄存器讀/讀/讀/讀/0000Table8.14EEPROM控制寄存器讀/讀/讀/讀/0000當(dāng)VDDVLVR且tTLVR時(shí)產(chǎn)生系統(tǒng)復(fù)位。當(dāng)VDDVLVR或VDDVLVR,但tTLVR時(shí)不會(huì)產(chǎn)生系統(tǒng)復(fù)位。者發(fā)現(xiàn)指令操作碼(測(cè)操作數(shù))為8051指令集中不存在的A5H,便認(rèn)為程式跑飛,產(chǎn)生CPU復(fù)位信號(hào),同時(shí)將WDOF標(biāo)志位置1。為應(yīng)用這個(gè)特性,用戶應(yīng)該將未使用的FlashROM用0xA5填滿。將復(fù)位。通過(guò)代碼選項(xiàng)可以打開或關(guān)閉該功能。-讀/讀/-讀/讀/讀/讀/讀/0-1000001-uuu000u-u1u000u-uu10007543WDT復(fù)位信號(hào)產(chǎn)生后(復(fù)位引腳上出現(xiàn)低電平,W復(fù)位,R復(fù)位)。U恢復(fù)時(shí)鐘,O寄存器和在N寄存器中的IL位被硬件清除,最后S7E0復(fù)位。然后程序從地址位000H開始執(zhí)行。M保持不變而FR的值根據(jù)不同功能模塊改變。要求的連續(xù)指令不被滿足,CPU在下一個(gè)機(jī)器周期清除SUSLO寄存器和PD位,CPU不會(huì)進(jìn)入掉電模式。有效外部中斷(如I0、I1)和模擬比較器中斷能使7E0退出掉電模式。在中斷發(fā)生后振蕩器啟動(dòng),在預(yù)熱計(jì)時(shí)結(jié)束之后UO寄存器和N寄存器中的D序。在完成中斷服務(wù)程序之后,跳轉(zhuǎn)到進(jìn)入掉電模式之后的指令繼續(xù)運(yùn)行。復(fù)位信號(hào)(復(fù)位引腳上出現(xiàn)低電平,W復(fù)位如果被允許,V復(fù)位如果被允許)。在預(yù)熱計(jì)時(shí)之后會(huì)恢復(fù)時(shí)鐘,O寄存器和N寄存器中的D位會(huì)被硬件清除,最后7902會(huì)被復(fù)位。然后程序會(huì)從000H地址位開始運(yùn)行。將保持不變,而根據(jù)不同功能模塊F的值可能改變。讀/讀/讀/讀/000010讀/讀/讀/讀/讀/讀/讀/讀/00000000 SUSLO, SUSLO, 79E。電源預(yù)熱計(jì)數(shù)器上電復(fù)位預(yù)熱計(jì)數(shù)有無(wú)有有陶瓷振蕩器2陶瓷振蕩器213X211X29X27X晶體振蕩器217X215X213X211X213X27X010:外部時(shí)鐘源(400kHz101:晶體振蕩器(400kHz8MHz)/陶瓷振蕩器(500kHz1:使能運(yùn)算放大器1ADDA,11ADDA,22累加器加內(nèi)部12ADDA,22ADDCA,1122ADDCA,12ADDCA,22SUBBA,11SUBBA,22SUBBA,12SUBBA,22INC累加器加11INC寄存器加12INC直接尋址字節(jié)加23INC13DEC累加器減11DEC寄存器減12DEC直接尋址字節(jié)減23DEC13INC數(shù)據(jù)指針加14MUL 8X16X累加器乘寄存器1DIV 8/16/累加器除以寄存器1DA11ANLA,11ANLA,22ANLA,累加器與內(nèi)部12ANLA,22ANLdirect,23ANLdirect,33ORLA,11ORLA,22ORLA,累加器或內(nèi)部12ORLA,22ORLdirect,23ORLdirect,33XRLA,11XRLA,22XRLA,累加器異或內(nèi)部12XRLA,22XRLdirect,23XRLdirect,33CLR11CPL11RL11RLC11RR11RRC11SWAP14MOVA,11MOVA,22MOVA,12MOVA,22MOVRn,12MOVRn,23MOVRn,22MOVdirect,22MOVdirect,22MOVdirect1,33MOVdirect,23MOVdirect,33MOV@Ri,累加器送內(nèi)部12MOV@Ri,直接尋址字節(jié)送內(nèi)部23MOV@Ri,立即數(shù)送內(nèi)部22MOVDPTR,33MOVCA,程序代碼送累加器(相對(duì)數(shù)據(jù)指針17MOVCA,程序代碼送累加器(相對(duì)程序計(jì)數(shù)器18MOVXA,15MOVXA,16MOVX@Ri,14MOVX@DPTR,15PUSH25POP24XCHA,13XCHA,24XCHA,14XCHDA,14ACALL27LCALL371818AJMP24LJMP35SJMP24JMP16JZ 235JNZrel 235JC 224JNC C轉(zhuǎn)224JBbit,rel 346JNBbit,rel 346JBCbit 346346CJNEA#data,rel不發(fā)生轉(zhuǎn)移346CJNERndatarel(不發(fā)生轉(zhuǎn)移)346CJNE@Ri#data,rel不發(fā)生轉(zhuǎn)移)346DJNZ 235346011CLRC11CLR23SETB11SETB23CPL11CPL23ANLC,22ANLC,22ORLC,22ORLC,22MOVC,直接尋址位送22MOVbit,23極限參數(shù)直流供電電 Vto輸入/輸出電 GND-0.3Vto工作環(huán)境溫 溫

如果器件的工作條件超過(guò)左列“極限參數(shù)”的范圍,將造成器件永久性破壞。只有當(dāng)器件工作在說(shuō)明書所規(guī)定的范圍內(nèi)時(shí)功能才能得到保障。器件在極限參數(shù)列舉的條件下工作將會(huì)影響到器件工作的可靠性。直流電氣特性1(VDD2.75.5V,GND0V,TA25°C,除非另有說(shuō)明V30kHzfOSCV30kHzfOSC-5fOSC=8MHz,VDD=(包括所有數(shù)字輸入端無(wú)浮動(dòng)),CPU工作(執(zhí)行NOP指令),所有其他功能-所有輸出端口無(wú)負(fù)載(包括所有數(shù)字輸入端無(wú)浮動(dòng)-fOSC=32.768kHz,VDD=所有輸出端口無(wú)負(fù)載(包括所有數(shù)字輸入端無(wú)浮動(dòng)-35fOSC=8MHz,VDD=CPU不工作(IDLE),所有其他功能--fOSC=32.768kHz,VDD=--3fOSC=OFF,VDD=CPU不工作(Power-Down),所有其他功能--輸入低電壓-0.3XV輸入高電壓0.7X-V輸入低電壓-V ———————RESET,T0,T1,INT0,輸入高電壓-V ———————RESET,T0,T1,INT0,-1輸,VIN=VDD或者--VDD=5.0V,VN=VDD---VI/O端口IOH10mA,VDD--VI/O端口IOL15mA,VDDV--GNDVAN-VRA2--VN=13ADC模塊打開VDDIAD--VDD=--fOSC=8MHz,VDD=--fOSC=8MHz,VDD=-fOSC=8MHz,VDD=-fOSC=8MHz,VDD=--fOSC=8MHz,VDD=總轉(zhuǎn)換時(shí)間--10bit精度VDD5.0V,fOSC(VDD2.75.5V,GND0VTA25°C,fOSC30KHz8MHz,除非另有說(shuō)明VDD-V10<VIN<(VDD2.75.5V,GND0VTA25°C,fOSC30KHz8MHz,除非另有說(shuō)明典型值--5-VDD-VVDD-VISINKorIDRIVE2-RL15K開環(huán)電壓增益IS(VDD2.75.5V,GND0VTA+25°C,fOSC30KHz8MHz,除非另有說(shuō)明--振蕩器起振時(shí)間-12s振蕩器起振時(shí)間-15%RC振蕩器:之間(VDD=5V,TA=低電壓復(fù)位電氣特性(VDD2.75.5V,GND0VTA+25°C除非另有說(shuō)明設(shè)定電壓VVDD=4.5V-設(shè)定電壓VVDD=2.7V-RD9P-DIP16L外形尺 單位:英寸/毫D9ESBESBE1C A0.130

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論