eda實(shí)驗(yàn)報(bào)告心得_第1頁
eda實(shí)驗(yàn)報(bào)告心得_第2頁
eda實(shí)驗(yàn)報(bào)告心得_第3頁
eda實(shí)驗(yàn)報(bào)告心得_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

eda實(shí)驗(yàn)報(bào)告心得篇一:EDA可編程邏輯器件實(shí)驗(yàn)心得體會(huì)完好版

EDA

?實(shí)驗(yàn)總結(jié)?

學(xué)號(hào):

姓名:

班級(jí):

EDA試驗(yàn)心得體會(huì)

當(dāng)看到這門課的時(shí)候,我最初的感覺是很無語,書本上一大堆看不懂的東西,沒有接觸過的VHDL語言和一些電路圖和實(shí)體,聽起來也是一塌糊涂,對EDA技術(shù)很陌生,也感到很茫然,也沒有信心,當(dāng)接觸到可編程器件的時(shí)候,看到大家同樣感到很迷惘。

隨后在深化的學(xué)習(xí)中發(fā)現(xiàn)書本資料通過大量的圖示對PLD硬件特性與編程技術(shù)進(jìn)展了形象的講解,不僅交融了之前學(xué)習(xí)的關(guān)于電路設(shè)計(jì)的知識(shí)還將EDA的技術(shù)參加其中。對VHDL語言的詳盡講解更是讓我深化理解了VHDL語言的編程原理。由于本門課程是一門硬件學(xué)習(xí)課程,所以實(shí)驗(yàn)必不可少。通過課程最后實(shí)驗(yàn),我體會(huì)一些VHDL語言相對于其他編程語言的特點(diǎn)。在接觸VHDL語言之前,我已經(jīng)學(xué)習(xí)了C語言,匯編語言,而相對于這些語言的學(xué)習(xí),,VHDL具有明顯的特點(diǎn)。這不僅僅是由于VHDL作為一種硬件描繪語言的學(xué)習(xí)需要理解較多的數(shù)字邏輯方面的硬件電路知識(shí),括目的芯片根本構(gòu)造方面的知識(shí)更重要的是由于VHDL描繪的對象始終是客觀的電路系統(tǒng)。由于電路系統(tǒng)內(nèi)部的子系統(tǒng)乃至局部元器件的工作狀態(tài)和工作方式可以是互相獨(dú)立、互不相關(guān)的,也可以是互為因果的。這說明,在任一時(shí)刻,電路系統(tǒng)可以有許多相關(guān)和不相關(guān)的事件同時(shí)并行發(fā)生。例如可以在多個(gè)獨(dú)立的模塊中同時(shí)入行不同方式的數(shù)據(jù)交換和控制信號(hào)傳輸,這種并行工作方式是任何一種基于CPU的軟件程序語言所無法描繪和實(shí)現(xiàn)的。傳統(tǒng)的軟件編程語言只能根據(jù)CPU的工作方式,以排隊(duì)式指令的形式來對特定的事件和信息控制或接收。在CPU工作的任一時(shí)間段內(nèi)只能完成一種操作。因此,任何復(fù)雜的程序在一個(gè)單CPU的計(jì)算機(jī)中的運(yùn)行,永遠(yuǎn)是單向和一維的。因此程序設(shè)計(jì)者也幾乎只以一維的思維形式就可以編程和工作了。在試驗(yàn)箱上,編寫相應(yīng)的軟件即可,否那么,只在計(jì)算機(jī)上模擬調(diào)試軟件,那么無法理解單片機(jī)接口中各種控制信號(hào)的使用。還可幫助學(xué)會(huì)分析問題和解決問題的才能。這在單片機(jī)實(shí)驗(yàn)報(bào)告中都要表達(dá)出來。

這門課是一門理論性和理論性都很強(qiáng)的專業(yè)根底課,也是一門綜合性的技術(shù)根底學(xué)科,它需要程序語言、數(shù)學(xué)、物理學(xué)、電子學(xué)、力學(xué)、機(jī)械等知識(shí),同時(shí)還要掌握各種物理量的變換測定,以及實(shí)驗(yàn)裝置的設(shè)計(jì)和數(shù)據(jù)分析等方面所涉及的根底理論。許多測試?yán)碚摵头椒ㄖ挥型ㄟ^實(shí)際驗(yàn)證才能加深理解并真正掌握。實(shí)驗(yàn)就是使學(xué)生加深理解所學(xué)根底知識(shí),掌握各類典型傳感、記錄儀器的根本原理和適用范圍;具有測試系統(tǒng)的選擇及應(yīng)用才能;具有實(shí)驗(yàn)數(shù)據(jù)處理和誤差分析才能;得到根本實(shí)驗(yàn)技能的訓(xùn)練與分析才能的訓(xùn)練,使學(xué)生初步掌握

測試技術(shù)的根本方法,具有初步獨(dú)立進(jìn)展電子信息工程測試的才能,對各門知識(shí)得到融會(huì)貫穿的認(rèn)識(shí)和掌握,加深對理論知識(shí)的理解。實(shí)驗(yàn)課是本門課程的重要環(huán)節(jié),其目的是培養(yǎng)學(xué)生的分析和解決實(shí)際問題的才能,從而掌握機(jī)械工程測試技術(shù)手段,為將來從事技術(shù)工作和科學(xué)研究奠定扎實(shí)的根底。

通過本門課程實(shí)驗(yàn),以下才能得到了較大的進(jìn)步:

理解了可編程邏輯器件操作的原理和應(yīng)用,以及試驗(yàn)箱及軟件編譯環(huán)境的使用的本卷須知及各種測試中不同問題的處理方法。

在學(xué)習(xí)的過程中,我深深體會(huì)到,學(xué)習(xí)不單單要將理論知識(shí)學(xué)扎實(shí)了,更重要的是實(shí)際動(dòng)手操作才能,學(xué)完了課本知識(shí),我并沒有覺得自己有多大的進(jìn)步,但是在隨后的實(shí)驗(yàn)過程中我真的感覺學(xué)會(huì)了很多,學(xué)到了很多知識(shí),在理論中更加理解了書本上的理論知識(shí)的經(jīng)典所在以及這門學(xué)科的意義和用處!真心希望以后的課程都能將理論與理論充分的結(jié)合起來,在理論的過程中串聯(lián)書本的知識(shí),讓理論化為理論的力量!

篇二:EDA心得體會(huì)

EDA學(xué)習(xí)心得體會(huì)

大三時(shí)候開始了專業(yè)課的學(xué)習(xí),其中EDA就是要學(xué)的一門專業(yè)課,課程剛開始的時(shí)候,對EDA技術(shù)很陌生,也感到很茫然,也非常沒有信心,當(dāng)接觸到可編程器件的時(shí)候,看到大家同樣感到很迷惘。首先,通過對這門課程相關(guān)理論的學(xué)習(xí),我掌握了EDA的一些根本的的知識(shí),現(xiàn)代電子產(chǎn)品的性能越來越高,復(fù)雜度越來越大,更新步伐也越來越快。實(shí)現(xiàn)這種進(jìn)步的主要原因就是微電子技術(shù)和電子技術(shù)的開展。前者以微細(xì)加工技術(shù)為代表,目前已進(jìn)入超深亞微米階段,可以在幾平方厘米的芯片上集成幾千萬個(gè)晶體管;后者的核心就是電子設(shè)計(jì)自動(dòng)化EDA〔ElectronicDesignAutomatic〕技術(shù),由于本門課程是一門硬件學(xué)習(xí)課程,所以實(shí)驗(yàn)必不可少。通過課程最后實(shí)驗(yàn),我體會(huì)一些VHDL語言相對于其他編程語言的特點(diǎn)。

在接觸VHDL語言之前,我已經(jīng)學(xué)習(xí)了C語言,匯編語言,而相對于這些語言的學(xué)習(xí),VHDL具有明顯的特點(diǎn)。這不僅僅是由于VHDL作為一種硬件描繪語言的學(xué)習(xí)需要理解較多的數(shù)字邏輯方面的硬件電路知識(shí),包括目的芯片根本構(gòu)造方面的知識(shí)更重要的是由于VHDL描繪的對象始終是客觀的電路系統(tǒng)。由于電路系統(tǒng)內(nèi)部的子系統(tǒng)乃至局部元器件的工作狀態(tài)和工作方式可以是互相獨(dú)立、互不相關(guān)的,也可以是互為因果的。這說明,在任一時(shí)刻,電路系統(tǒng)可以有許多相關(guān)和不相關(guān)的事件同時(shí)并行發(fā)生。因此,任何復(fù)雜的程序在一個(gè)單CPU的計(jì)算機(jī)中的運(yùn)行,永遠(yuǎn)是單向和一維的。因此程序設(shè)計(jì)者也幾乎只需以一維的思維形式就可以編程和工作了。

在學(xué)習(xí)的過程中,我深深體會(huì)到,學(xué)習(xí)不單單要將理論知識(shí)學(xué)扎實(shí)了,更重要的是實(shí)際動(dòng)手操作才能,學(xué)完了課本知識(shí),我并沒有覺得自己有多大的進(jìn)步,感覺學(xué)到的很沒用,我們?nèi)缃駥W(xué)到的還很少,只是編寫一些簡單的程序。相反的,每次做完實(shí)驗(yàn)之后,都會(huì)感覺自己收獲不少,每次都會(huì)有問題,因此,我認(rèn)為在老師今后的教學(xué)當(dāng)中,應(yīng)當(dāng)更加注重動(dòng)手實(shí)驗(yàn),把理論與理論很好的結(jié)合起來,才能使同學(xué)融會(huì)貫穿。如今感覺到對這門課還只有很少的認(rèn)識(shí),所以希望很認(rèn)真的續(xù)下去

篇三:EDA實(shí)驗(yàn)總結(jié)報(bào)告

數(shù)字EDA實(shí)驗(yàn)實(shí)驗(yàn)報(bào)告

學(xué)院:計(jì)算機(jī)科學(xué)與工程學(xué)院專業(yè):通信工程學(xué)號(hào):0941903207姓名:薛蕾指導(dǎo)老師:錢強(qiáng)

實(shí)驗(yàn)一四選一數(shù)據(jù)選擇器的設(shè)計(jì)

一、實(shí)驗(yàn)?zāi)康?/p>

1、熟悉QuartusII軟件的使用。2、理解數(shù)據(jù)選擇器的工作原理。3、熟悉EDA開發(fā)的根本流程。

二、實(shí)驗(yàn)原理及內(nèi)容

實(shí)驗(yàn)原理

數(shù)據(jù)選擇器在實(shí)際中得到了廣泛的應(yīng)用,尤其是在通信中為了利用多路信號(hào)中的一路,可以采用數(shù)據(jù)選擇器進(jìn)展選擇再對該路信號(hào)加以利用。從多路輸入信號(hào)中選擇其中一路進(jìn)展輸出的電路稱為數(shù)據(jù)選擇器?;颍涸诘刂沸盘?hào)控制下,從多路輸入信息中選擇其中的某一路信息作為輸出的電路稱為數(shù)據(jù)選擇器。數(shù)據(jù)選擇器又叫多路選擇器,簡稱MUX。4選1數(shù)據(jù)選擇器:

〔1〕原理框圖:如右圖。

D0、D1、D2、D3:輸入數(shù)據(jù)A1、A0:地址變量

由地址碼決定從4路輸入中選擇哪1路輸出。

〔2〕真值表如下列圖:

〔3〕邏輯圖

數(shù)據(jù)選擇器的原理比擬簡單,首先必須設(shè)置一個(gè)選擇標(biāo)志信號(hào),目的就是為了從多路信號(hào)中選擇所需要的一路信號(hào),選擇標(biāo)志信號(hào)的一種狀態(tài)對應(yīng)著一路信號(hào)。在應(yīng)用中,設(shè)置一定的選擇標(biāo)志信號(hào)狀態(tài)即可得到相應(yīng)的某一路信號(hào)。這就是數(shù)據(jù)選擇器的實(shí)現(xiàn)原理。

三.實(shí)驗(yàn)內(nèi)容

1、分別采用原理圖和VHDL語言的形式設(shè)計(jì)4選1數(shù)據(jù)選擇器2、對所涉及的電路進(jìn)展編譯及正確的仿真。電路圖:

四、實(shí)驗(yàn)程序

libraryieee;

useieee.std_Logic_1164.all;

ENTITYmux4ISPORT(

a0,a1,a2,a3:INSTD_LOGIC;

s:INSTD_LOGIC_VECTOR(1DOWNTO0);y:OUTSTD_LOGIC);ENDmux4;

ARCHITECTUREarchmuxOFmux4ISBEGIN

ya1

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論