第9章80C51的模擬量接口課件_第1頁(yè)
第9章80C51的模擬量接口課件_第2頁(yè)
第9章80C51的模擬量接口課件_第3頁(yè)
第9章80C51的模擬量接口課件_第4頁(yè)
第9章80C51的模擬量接口課件_第5頁(yè)
已閱讀5頁(yè),還剩50頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

2023/7/271單片機(jī)原理與接口技術(shù)1南陽(yáng)師范學(xué)院第9章80C51的模擬量接口2023/7/272D/A轉(zhuǎn)換器及其與單片機(jī)的接口9.1A/D轉(zhuǎn)換器及其與單片機(jī)的接口9.22023/7/273在單片機(jī)測(cè)控系統(tǒng)中,被測(cè)量的溫度、壓力、流量、速度等非電物理量,須經(jīng)傳感器先轉(zhuǎn)換成連續(xù)變化的模擬電信號(hào)(電壓或電流),模擬電信號(hào)必須轉(zhuǎn)換成數(shù)字量后才能在單片機(jī)中進(jìn)行處理。實(shí)現(xiàn)模擬量轉(zhuǎn)換成數(shù)字量的器件稱(chēng)為A/D轉(zhuǎn)換器(ADC)。單片機(jī)處理完畢的數(shù)字量,有時(shí)需要轉(zhuǎn)換為模擬信號(hào)輸出。數(shù)字量轉(zhuǎn)換成模擬量的器件稱(chēng)為D/A轉(zhuǎn)換器(DAC)。2023/7/274D/A轉(zhuǎn)換器簡(jiǎn)介:1.概述購(gòu)買(mǎi)和使用D/A轉(zhuǎn)換器時(shí),要注意D/A轉(zhuǎn)換器選擇的幾個(gè)問(wèn)題。(1)D/A轉(zhuǎn)換器的輸出形式兩種輸出形式。一種是電壓輸出,即給D/A轉(zhuǎn)換器輸入的是數(shù)字量,而輸出為電壓。另一種是電流輸出。對(duì)電流輸出的D/A轉(zhuǎn)換器,如需要模擬電壓輸出,可在其輸出端加一個(gè)由運(yùn)算放大器構(gòu)成的I-V轉(zhuǎn)換電路,將電流輸出轉(zhuǎn)換為電壓輸出。2023/7/275(2)D/A轉(zhuǎn)換器與單片機(jī)的接口形式單片機(jī)與D/A轉(zhuǎn)換器的連接,早期多采用8位數(shù)字量并行傳輸?shù)牟⑿薪涌?,現(xiàn)在除并行接口外,帶有串行口的D/A轉(zhuǎn)換器品種也不斷增多。除了通用的UART串行口外,目前較為流行的還有I2C串行口和SPI串行口等。所以在選擇單片D/A轉(zhuǎn)換器時(shí),要考慮單片機(jī)與D/A轉(zhuǎn)換器的接口形式。2023/7/2762.主要技術(shù)指標(biāo)使用者最關(guān)心的指標(biāo)如下。(1)分辨率指單片機(jī)輸入給D/A轉(zhuǎn)換器的單位數(shù)字量的變化,所引起的模擬量輸出的變化,通常定義為輸出滿刻度值與2n之比(n為D/A轉(zhuǎn)換器的二進(jìn)制位數(shù))。習(xí)慣上用輸入數(shù)字量的二進(jìn)制位數(shù)表示。位數(shù)越多,分辨率越高,即D/A轉(zhuǎn)換器對(duì)輸入量變化的敏感程度越高。例如,8位的D/A轉(zhuǎn)換器,若滿量程輸出為10V,根據(jù)分辨率定義,則分辨率為10V/2n,分辨率為2023/7/27710V/256=39.1mV,即輸入的二進(jìn)制數(shù)最低位的變化可引起輸出的模擬電壓變化39.1mV,該值占滿量程的0.391%,常用符號(hào)1LSB表示。同理:10位D/A轉(zhuǎn)換

1LSB

=

9.77mV

=

0.1%滿量程12位D/A轉(zhuǎn)換

1LSB

=

2.44mV

=

0.024%滿量程16位D/A轉(zhuǎn)換

1LSB

=

0.076mV

=

0.00076%滿量程使用時(shí),應(yīng)根據(jù)對(duì)D/A轉(zhuǎn)換器分辨率的需要來(lái)選定D/A的位數(shù)。2023/7/278(2)建立時(shí)間描述D/A轉(zhuǎn)換器轉(zhuǎn)換快慢的一個(gè)參數(shù),用于表明轉(zhuǎn)換時(shí)間或轉(zhuǎn)換速度。其值為從輸入數(shù)字量到輸出達(dá)到終值誤差(1/2)LSB時(shí)所需的時(shí)間。電流輸出的轉(zhuǎn)換時(shí)間較短,而電壓輸出的轉(zhuǎn)換器,由于要加上完成I-V轉(zhuǎn)換的運(yùn)算放大器的延遲時(shí)間,因此轉(zhuǎn)換時(shí)間要長(zhǎng)一些。快速D/A轉(zhuǎn)換器的轉(zhuǎn)換時(shí)間可控制在1s以下。2023/7/279(3)轉(zhuǎn)換精度理想情況下,轉(zhuǎn)換精度與分辨率基本一致,位數(shù)越多精度越高。但由于電源電壓、基準(zhǔn)電壓、電阻、制造工藝等各種因素存在著誤差。嚴(yán)格講,轉(zhuǎn)換精度與分辨率并不完全一致。只要位數(shù)相同,分辨率則相同,但相同位數(shù)的不同轉(zhuǎn)換器轉(zhuǎn)換精度會(huì)有所不同。例如,某種型號(hào)的8位DAC精度為0.19%,而另一種型號(hào)的8位DAC精度為0.05%。2023/7/27109.1

D/A轉(zhuǎn)換器及其與單片機(jī)的接口

DAC0832主要特性9.1.1DAC0832芯片主要特性與結(jié)構(gòu)

分辨率8位;電流建立時(shí)間1μS;數(shù)據(jù)輸入可采用雙緩沖、單緩沖或直通方式;輸出電流線性度可在滿量程下調(diào)節(jié);輸入邏輯電平與TTL兼容;單電源供電(+5V~+15V);低功耗,20mW。美國(guó)國(guó)家半導(dǎo)體公司的DAC0832芯片是具有兩個(gè)輸入數(shù)據(jù)寄存器的8位DAC,它能直接與80C51單片機(jī)連接。2023/7/2711

DAC0832的引腳圖

2023/7/2712

DAC0832的邏輯結(jié)構(gòu)122023/7/2713引腳功能:DI0~DI7:8位數(shù)字信號(hào)輸入端CS*:

片選端。ILE:

數(shù)據(jù)鎖存允許控制端,高電平有效。WR1*:輸入寄存器寫(xiě)選通控制端。當(dāng)CS*=0、ILE=1、WR1*=0時(shí),數(shù)據(jù)信號(hào)被鎖存在輸入寄存器中。XFER*:數(shù)據(jù)傳送控制。WR2*:DAC寄存器寫(xiě)選通控制端。當(dāng)XFER*=0,WR2*=0時(shí),輸入寄存器狀態(tài)傳入DAC寄存器中。IOUT1:電流輸出1端,輸入數(shù)字量全“1”時(shí),IOUT1最大,輸入數(shù)字量全為“0”時(shí),IOUT1最小。2023/7/2714IOUT2:D/A轉(zhuǎn)換器電流輸出2端,IOUT2+IOUT1=常數(shù)。Rfb:外部反饋信號(hào)輸入端,內(nèi)部已有反饋電阻Rfb,根據(jù)需要也可外接反饋電阻。Vcc:電源輸入端,可在+5V~+15V范圍內(nèi)。DGND:數(shù)字信號(hào)地。AGND:模擬信號(hào)地。“8位輸入寄存器”用于存放CPU送來(lái)的數(shù)字量,使輸入數(shù)字量得到緩沖和鎖存,由LE1*控制;“8位DAC寄存器”存放待轉(zhuǎn)換的數(shù)字量,由LE2*控制;“8位D/A轉(zhuǎn)換電路”由T型電阻網(wǎng)絡(luò)和電子開(kāi)關(guān)組成,T型電阻網(wǎng)絡(luò)輸出和數(shù)字量成正比的模擬電流。2023/7/2715(1)單緩沖方式指DAC0832內(nèi)部的兩個(gè)數(shù)據(jù)緩沖器有一個(gè)處于直通方式,另一個(gè)處于受單片機(jī)控制的鎖存方式。在實(shí)際應(yīng)用中,如果只有一路模擬量輸出,或雖是多路模擬量輸出但并不要求多路輸出同步的情況下,可采用單緩沖方式。9.1.2DAC0832與單片機(jī)的接口

2023/7/2716單緩沖方式MOVDPTR,#7FFFHMOVA,#dataMOVX@DPTR,A2023/7/2717(2)雙緩沖同步方式接口

對(duì)于多路D/A轉(zhuǎn)換接口,要求同步進(jìn)行D/A轉(zhuǎn)換輸出時(shí),必須采用多緩沖器同步方式接法。DAC0832采用這種接法時(shí),數(shù)字量的輸入和D/A轉(zhuǎn)換輸出是分兩步完成的,即CPU的數(shù)據(jù)總線分時(shí)地向各路D/A轉(zhuǎn)換器輸入要轉(zhuǎn)換的數(shù)字量并鎖存在各自的輸入寄存器中,然后CPU對(duì)所有的D/A轉(zhuǎn)換器發(fā)出控制信號(hào),使各D/A轉(zhuǎn)換器輸入鎖存器中的數(shù)據(jù)打入DAC寄存器,實(shí)現(xiàn)同步轉(zhuǎn)換輸出。雙緩沖方式,就是把DAC0832的兩個(gè)鎖存器都接成受控鎖存方式。由于兩個(gè)鎖存器分別占據(jù)兩個(gè)地址,因此在程序中需要使用兩條傳送指令,才能完成一個(gè)數(shù)字量的模擬轉(zhuǎn)換。2023/7/2718雙緩沖方式MOVDPTR,#0DFFFHMOVA,#data1MOVX@DPTR,AMOVDPTR,#0BFFFHMOVA,#data2MOVX@DPTR,AMOVDPTR,#7FFFHMOVX@DPTR,A2023/7/2719直通方式ILE接+5V,CS、WR1、WR2、XFER均接地。【例9-1】試編寫(xiě)程序段,實(shí)現(xiàn)產(chǎn)生三角波。已知三角波的最低值和最高值分別為WL和WH。

MOV

DPTR,#7FFFHMOVR7,#WLUP:INCR7MOVA,R7MOVX@DPTR,ACJNER7,#WH,UP;三角波上升邊DOWN:DECR7MOVA,R7MOVX@DPTR,ACJNER7,#WL,DOWN;三角波下降邊JMPUP

2023/7/2720 ORG0000HSTART: MOVDPTR,#7FFFH;DAC0832地址送DPTR MOVA,#00H;置數(shù)字量初值LOOP:MOVX@DPTR,A;送數(shù)并轉(zhuǎn)換

INCA NOP;延時(shí)(延時(shí)時(shí)間決定鋸齒波斜率) SJMPLOOP END實(shí)現(xiàn)產(chǎn)生鋸齒波輸入數(shù)字量從0開(kāi)始,逐次加1,為FFH時(shí),加1則清0,模擬輸出又為0,然后又循環(huán),輸出鋸齒波.2023/7/2721實(shí)現(xiàn)產(chǎn)生矩形波

ORG2000HSTART:

MOVR0,#0FEHMOVX@R0,A

;置矩形波上限電平LCALLDELAY1

;調(diào)用高電平延時(shí)程序MOVA,#data2MOVX@R0,A

;置矩形波下限電平LCALLDELAY2

;調(diào)用低電平延時(shí)程序SJMPLOOP

;重復(fù)進(jìn)行下一個(gè)周期2023/7/2722單片機(jī)在自動(dòng)控制領(lǐng)域中,除數(shù)字量之外經(jīng)常會(huì)遇到另一種物理量,即模擬量,常需將檢測(cè)到的連續(xù)變化的模擬量。

例如:溫度、速度、壓力、電流、電壓等轉(zhuǎn)換成離散的數(shù)字量。才能輸入到單片微機(jī)中進(jìn)行處理。如果需要對(duì)被空對(duì)象控制時(shí),再將處理的數(shù)字量經(jīng)A/D轉(zhuǎn)換器轉(zhuǎn)換成模擬量輸出,實(shí)現(xiàn)對(duì)被控對(duì)象—過(guò)程或儀器、儀表、機(jī)電設(shè)備、裝置的控制。9.2A/D轉(zhuǎn)換器及其與單片機(jī)的接口

2023/7/27231.A/D轉(zhuǎn)換器概述目前單片的ADC芯片較多,對(duì)設(shè)計(jì)者來(lái)說(shuō),只需合理的選擇芯片即可?,F(xiàn)在部分的單片機(jī)片內(nèi)集成了A/D轉(zhuǎn)換器,在片內(nèi)A/D轉(zhuǎn)換器不能滿足需要,還是需外擴(kuò)。盡管A/D轉(zhuǎn)換器的種類(lèi)很多,但目前廣泛應(yīng)用在單片機(jī)應(yīng)用系統(tǒng)中的主要有逐次比較型轉(zhuǎn)換器和雙積分型轉(zhuǎn)換器,此外-Δ式轉(zhuǎn)換器逐漸得到重視和較為廣泛的應(yīng)用。逐次比較型A/D轉(zhuǎn)換器,在精度、速度和價(jià)格上都適中,是最常用的A/D轉(zhuǎn)換器。2023/7/2724雙積分型A/D轉(zhuǎn)換器,具有精度高、抗干擾性好、價(jià)格低廉等優(yōu)點(diǎn),與逐次比較型A/D轉(zhuǎn)換器相比,轉(zhuǎn)換速度較慢,近年來(lái)在單片機(jī)應(yīng)用領(lǐng)域中也得到廣泛應(yīng)用。

-式ADC具有積分式與逐次比較型ADC的雙重優(yōu)點(diǎn)。它對(duì)工業(yè)現(xiàn)場(chǎng)的串模干擾具有較強(qiáng)的抑制能力,不亞于雙積分ADC,它比雙積分ADC有較高的轉(zhuǎn)換速度,與逐次比較型ADC相比,有較高的信噪比,分辨率高,線性度好,不需要采樣保持電路。由于上述優(yōu)點(diǎn),?式ADC得到了重視,已有多種?式A/D芯片可供用戶選用。2023/7/2725A/D轉(zhuǎn)換器按照輸出數(shù)字量的有效位數(shù)分為4位、8位、10位、12位、14位、16位并行輸出以及BCD碼輸出的3位半、4位半、5位半等多種。目前,除并行輸出A/D轉(zhuǎn)換器外,隨著單片機(jī)串行擴(kuò)展方式的日益增多,帶有同步SPI串行接口的A/D轉(zhuǎn)換器的使用也逐漸增多。串行輸出的A/D轉(zhuǎn)換器具有占用端口線少、使用方便、接口簡(jiǎn)單等優(yōu)點(diǎn)。較為典型的串行A/D轉(zhuǎn)換器為美國(guó)TI公司的TLC549(8位)、TLC1549(10位)以及TLC1543(10位)和TLC2543(12位)。2023/7/2726

A/D轉(zhuǎn)換器按照轉(zhuǎn)換速度可大致分為超高速(轉(zhuǎn)換時(shí)間≤1ns)、高速(轉(zhuǎn)換時(shí)間≤1s)、中速(轉(zhuǎn)換時(shí)間≤1ms)、低速(轉(zhuǎn)換時(shí)間≤1s)等幾種不同轉(zhuǎn)換速度的芯片。為適應(yīng)系統(tǒng)集成的需要,有些轉(zhuǎn)換器還將多路轉(zhuǎn)換開(kāi)關(guān)、時(shí)鐘電路、基準(zhǔn)電壓源、2/10進(jìn)制譯碼器和轉(zhuǎn)換電路集成在一個(gè)芯片內(nèi),為用戶提供很多方便。2023/7/27272.A/D轉(zhuǎn)換器的主要技術(shù)指標(biāo)(1)轉(zhuǎn)換時(shí)間和轉(zhuǎn)換速率A/D完成一次轉(zhuǎn)換所需的時(shí)間。轉(zhuǎn)換時(shí)間的倒數(shù)為轉(zhuǎn)換速率。(2)分辨率在A/D轉(zhuǎn)換器中,分辨率是衡量A/D轉(zhuǎn)換器能夠分辨出輸入模擬量最小變化程度的技術(shù)指標(biāo)。分辨率取決于A/D轉(zhuǎn)換器的位數(shù),所以習(xí)慣上用輸出的二進(jìn)制位數(shù)或BCD碼位數(shù)表示。例如,A/D轉(zhuǎn)換器AD1674的滿量程輸入電壓為5V,可輸出12位二進(jìn)制數(shù),即用212個(gè)數(shù)進(jìn)行量化,其分辨率為1LSB,也即2023/7/27285V/212=1.22mV,其分辨率為12位,或A/D轉(zhuǎn)換器能分辨出輸入電壓1.22mV的變化。

量化過(guò)程引起的誤差稱(chēng)為量化誤差。是由于有限位數(shù)字量對(duì)模擬量進(jìn)行量化而引起的誤差。理論上規(guī)定為一個(gè)單位分辨率的-1/2-+1/2LSB

,提高A/D位數(shù)既可以提高分辨率,又能夠減少量化誤差。(3)轉(zhuǎn)換精度轉(zhuǎn)換精度定義為一個(gè)實(shí)際A/D轉(zhuǎn)換器與一個(gè)理想A/D轉(zhuǎn)換器在量化值上的差值,可用絕對(duì)誤差或相對(duì)誤差表示。2023/7/27299.2.1ADC0809芯片及其與單片機(jī)的接口

ADC0809A/D轉(zhuǎn)換器主要性能逐次比較式8路模擬輸入、8位輸出的A/D轉(zhuǎn)換器分辨率為8位;精度:ADC0809小于±1LSB;單+5V供電,模擬輸入電壓范圍為0~+5V;具有鎖存控制的8路輸入模擬開(kāi)關(guān);可鎖存三態(tài)輸出,輸出與TTL電平兼容;功耗為15mW;不必進(jìn)行零點(diǎn)和滿度調(diào)整;轉(zhuǎn)換時(shí)間約為100μS(時(shí)鐘頻率640KHz時(shí))。2023/7/2730ADC0809的引腳圖2023/7/2731共28引腳,雙列直插式封裝。引腳功能如下:IN0~I(xiàn)N7:8路模擬信號(hào)輸入端。D0~D7:轉(zhuǎn)換完畢的8位數(shù)字量輸出端。A、B、C與ALE:控制8路模擬輸入通道的切換。A、B、C分別與單片機(jī)的三條地址線相連,三位編碼對(duì)應(yīng)8個(gè)通道地址端口。C、B、A

=

000~111分別對(duì)應(yīng)IN0~I(xiàn)N7通道的地址。各路模擬輸入間切換可改變C、B、A引腳的編碼來(lái)實(shí)現(xiàn)。OE、START、CLK:OE為輸出允許端,START為啟動(dòng)信號(hào)輸入端,CLK為時(shí)鐘信號(hào)輸入端。2023/7/2732EOC:轉(zhuǎn)換結(jié)束輸出信號(hào)。當(dāng)A/D轉(zhuǎn)換開(kāi)始轉(zhuǎn)換時(shí),該引腳為低電平,當(dāng)A/D轉(zhuǎn)換結(jié)束時(shí),該引腳為高電平。VR(+)、VR(?):基準(zhǔn)電壓輸入端。2.ADC0809結(jié)構(gòu)及轉(zhuǎn)換原理采用逐次比較法完成A/D轉(zhuǎn)換,單一+5V電源供電。片內(nèi)帶有鎖存功能的8選1模擬開(kāi)關(guān),由C、B、A的編碼來(lái)決定所選的通道。完成一次轉(zhuǎn)換需100s左右(轉(zhuǎn)換時(shí)間與CLK腳的時(shí)鐘頻率有關(guān)),具有輸出TTL三態(tài)鎖存緩沖器,可直接連到單片機(jī)數(shù)據(jù)總線上。通過(guò)適當(dāng)?shù)耐饨与娐罚蓪?duì)0~5V的模擬信號(hào)進(jìn)行轉(zhuǎn)換。2023/7/2733ADC0809結(jié)構(gòu)框圖2023/7/27343.AT89S51單片機(jī)與ADC0809的接口單片機(jī)如何控制ADC開(kāi)始轉(zhuǎn)換,如何得知轉(zhuǎn)換結(jié)束以及如何讀入轉(zhuǎn)換結(jié)果??刂艫DC0809的轉(zhuǎn)換過(guò)程:先選擇ADC0809一個(gè)模擬輸入通道,然后使單片機(jī)的WR*信號(hào)有效,產(chǎn)生一個(gè)啟動(dòng)脈沖。信號(hào)給ADC0809的START腳,對(duì)選中通道轉(zhuǎn)換。當(dāng)轉(zhuǎn)換結(jié)束后,0809發(fā)出轉(zhuǎn)換結(jié)束EOC(高)信號(hào),該信號(hào)可供查詢(xún),也可反相后作為向中斷請(qǐng)求信號(hào);當(dāng)單片機(jī)發(fā)出讀控制信號(hào),通過(guò)邏輯電路控制OE端為高電平,把轉(zhuǎn)換完畢的數(shù)字量讀入到存入到存儲(chǔ)器中。

2023/7/2735單片機(jī)讀取ADC的轉(zhuǎn)換結(jié)果時(shí),可采用查詢(xún)和中斷控制兩種方式。查詢(xún)方式是在單片機(jī)把啟動(dòng)信號(hào)送到ADC之后,執(zhí)行其他程序,同時(shí)對(duì)ADC0809的EOC腳不斷進(jìn)行檢測(cè),以查詢(xún)ADC變換是否已經(jīng)結(jié)束,如查詢(xún)到變換已經(jīng)結(jié)束,則讀入轉(zhuǎn)換完畢的數(shù)據(jù)。2023/7/2736中斷控制方式是在啟動(dòng)信號(hào)送到ADC之后,單片機(jī)執(zhí)行其他程序。ADC0809轉(zhuǎn)換結(jié)束并向單片機(jī)發(fā)出中斷請(qǐng)求信號(hào),單片機(jī)響應(yīng)此中斷請(qǐng)求,進(jìn)入中斷服務(wù)程序,讀入轉(zhuǎn)換完畢的數(shù)據(jù)。中斷控制方式效率高,特適合于轉(zhuǎn)換時(shí)間較長(zhǎng)的ADC。362023/7/273737ADC0809與80C51查詢(xún)式接口2023/7/2738

由于ADC0809片內(nèi)無(wú)時(shí)鐘,可利用80C51提供的ALE信號(hào)經(jīng)D觸發(fā)器二分頻后獲得500kHz時(shí)鐘信號(hào),ALE腳的頻率是80C51時(shí)鐘頻率的1/6。引腳C、B、A分別與地址總線A2、A1、A0相連,選通IN0~IN7中的一個(gè)。P2.7(A15)作為片選信號(hào),在啟動(dòng)A/D轉(zhuǎn)換時(shí),由WR*和P2.7控制ADC的地址鎖存和轉(zhuǎn)換啟動(dòng),由于ALE和START連在一起,因此0809在鎖存通道地址的同時(shí),啟動(dòng)并進(jìn)行轉(zhuǎn)換。

讀取轉(zhuǎn)換結(jié)果,用RD*信號(hào)和P2.7腳經(jīng)或非后,產(chǎn)生的正脈沖作為OE信號(hào),用以打開(kāi)三態(tài)輸出鎖存器。

2023/7/2739對(duì)8路模擬信號(hào)輪流采樣一次,采用軟件延時(shí)的方式,并依次把結(jié)果轉(zhuǎn)儲(chǔ)到數(shù)據(jù)存儲(chǔ)區(qū)。MAIN: MOVR1,#data ;置數(shù)據(jù)區(qū)首地址

MOV DPTR,#7FF8H;端口地址送DPTR,P2.7=0, ;且指向通道IN0MOV R7,#08H ;置轉(zhuǎn)換的通道個(gè)數(shù)LOOP:MOVX @DPTR,A ;啟動(dòng)A/D轉(zhuǎn)換MOV R6,#0AH ;軟件延時(shí),等待轉(zhuǎn)換結(jié)束DELAY:NOPNOPNOPDJNZ R6,DELAYMOVX A,@DPTR ;讀取轉(zhuǎn)換結(jié)果2023/7/2740MOV @R1,A ;存儲(chǔ)轉(zhuǎn)換結(jié)果INC DPTR ;指向下一個(gè)通道INC R1 ;修改數(shù)據(jù)區(qū)指針DJNZ R7,LOOP ;8個(gè)通道全采樣完否?未完則繼續(xù)……(2)中斷方式將上圖中EOC腳經(jīng)一非門(mén)連接到8051的INT1*腳即可。轉(zhuǎn)換結(jié)束時(shí),EOC發(fā)出一個(gè)脈沖向單片機(jī)提出中斷申請(qǐng),單片機(jī)響應(yīng)中斷請(qǐng)求,在中斷服務(wù)程序讀A/D結(jié)果,并啟動(dòng)0809的下一次轉(zhuǎn)換,外中斷1采用跳沿觸發(fā)。2023/7/2741程序如下:INIT1:SETB IT1

;外部中斷1初始化編程SETB EA

;CPU開(kāi)中斷SETB EX1

;選擇外中斷為跳沿觸發(fā)方式MOV DPTR,#7FF8H;端口地址送DPTRMOV A,#00H;MOVX @DPTR,A ;啟動(dòng)0809對(duì)IN0通道轉(zhuǎn)換… ;完成其他的工作中斷服務(wù)程序:PINT1:MOVDPTR,#7FF8H;A/D結(jié)果送內(nèi)部RAM單元30HMOVX A,@DPTRMOV 30H,AMOV A,#00H ;啟動(dòng)0809對(duì)IN0的轉(zhuǎn)換MOVX @DPTR,A;RETI2023/7/2742ADC0809與80C51中斷式接口2023/7/2743某些應(yīng)用中,8位ADC常常不夠,須選擇分辨率大于8位的芯片,如10位、12位、16位A/D轉(zhuǎn)換器,由于10位、16位接口與12位類(lèi)似,因此僅以常用12位A/D轉(zhuǎn)換器AD1674為例介紹。1.AD574A簡(jiǎn)介美國(guó)AD公司12位逐次比較型A/D轉(zhuǎn)換器。轉(zhuǎn)換時(shí)間為25s,轉(zhuǎn)換精度為0.05%

。為28引腳雙列直插式封裝。

目前帶有采樣保持器的12位改進(jìn)型產(chǎn)品AD1674正以其優(yōu)良的性能價(jià)格比逐漸取代AD574A和AD674A。439.2.2AD574A芯片及其與單片機(jī)的接口2023/7/2744主要性能可工作于12位,也可工作于8位;可12位一次讀出或8位4位兩次讀出;三態(tài)輸出緩沖器,TTL電平;最大轉(zhuǎn)換時(shí)間為25μS;輸入信號(hào)可是單極性,也可是雙極性單極性輸入時(shí)輸出原碼。雙極性輸入時(shí)輸出偏移碼;典型功耗為390mW;非線性誤差:AD574AK為±1/2LSB。2023/7/27452023/7/2746引腳的功能如下:CS*:片選信號(hào)端。CE:片啟動(dòng)信號(hào)。R/C*:讀出/轉(zhuǎn)換控制信號(hào)。12/8*:數(shù)據(jù)輸出格式選擇。

1:12條數(shù)據(jù)線同時(shí)輸出轉(zhuǎn)換結(jié)果,0:轉(zhuǎn)換結(jié)果為兩個(gè)單字節(jié)輸出,即只有高8位或低4位有效。A0:字節(jié)選擇控制線。分為轉(zhuǎn)換期間、讀出期間在轉(zhuǎn)換期間:0:

進(jìn)行12位轉(zhuǎn)換(轉(zhuǎn)換時(shí)間為25s);

1:

進(jìn)行8位轉(zhuǎn)換(轉(zhuǎn)換時(shí)間為16s)。在讀出期間:2023/7/2747結(jié)果的高8位結(jié)果的低4位+4位尾00:高8位數(shù)據(jù)有效;1:低4位數(shù)據(jù)有效,中間4位為“0”,高4位為三態(tài)。因此當(dāng)兩次讀出12位數(shù)據(jù)時(shí),12位數(shù)據(jù)遵循左對(duì)齊原則,如下所示:上述五個(gè)控制信號(hào)組合的真值表如下表所示:2023/7/2748CECS*R/C*12/8*A0操作0X11111X100000XX00111XXXX+5V地地XX01X01無(wú)操作無(wú)操作初始化為12位轉(zhuǎn)換初始化為8位轉(zhuǎn)換允許12位并行輸出允許高8位輸出允許低4位+4位尾0輸出AD574控制真值表2023/7/2749STS

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論