微處理器結(jié)構(gòu)_第1頁
微處理器結(jié)構(gòu)_第2頁
微處理器結(jié)構(gòu)_第3頁
微處理器結(jié)構(gòu)_第4頁
微處理器結(jié)構(gòu)_第5頁
已閱讀5頁,還剩81頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

第2章微處理器結(jié)構(gòu)

微處理器是微機的核心部件,熟悉微處理器內(nèi)部結(jié)構(gòu)及其組成部件和相應(yīng)功能才能掌握其原理。本章重點講述16位微處理器8086,并簡單介紹32位高檔微處理器的體系結(jié)構(gòu)。2.18086微處理器簡介

微處理器的集成度越來越高,并且內(nèi)部晶體管數(shù)目已達到幾百萬個。CPU從最初發(fā)展到現(xiàn)在,其晶體管數(shù)目增加了幾十倍,CPU的內(nèi)部結(jié)構(gòu)仍然可分為控制單元、邏輯單元和存儲單元三大部分。2.1.18086內(nèi)部結(jié)構(gòu)8086CPU內(nèi)部功能結(jié)構(gòu)圖

1.執(zhí)行單元(EU)

Intel8086CPU的執(zhí)行單元EU中包含8個16位的內(nèi)部寄存器、1個16位的運算器(ALU)與1個16位標志寄存器(Flag)以及內(nèi)部控制邏輯三部分組成。EU不與外部總線相連,它負責執(zhí)行指令。2.1.18086內(nèi)部結(jié)構(gòu)

(1)內(nèi)部寄存器

①通用寄存器

AX:累加器,在乘除法運算、串運算和I/O指令中均作為專用寄存器使用;

BX:基址寄存器,在尋址時常用來存放基址;

CX:計數(shù)寄存器,在循環(huán)和串操作指令中作為計數(shù)器使用;

DX:數(shù)據(jù)寄存器,在寄存器間接尋址的I/O指令中存放I/O端口地址,在雙字節(jié)乘除運算時,DX與AX共同存放一個32位雙字節(jié)數(shù)據(jù),其中DX存放高16位。2.1.18086內(nèi)部結(jié)構(gòu)

指針寄存器系統(tǒng)中有兩個16位的指針寄存器。SP(StackPointRegister):堆棧指針寄存器BP(BasicPointRegister):基數(shù)指針寄存器,通常用于存放基地址,用來指示相對于起始地址的偏移量。

BP和SP一般用于堆棧段。

2.1.18086內(nèi)部結(jié)構(gòu)

變址寄存器系統(tǒng)中有兩個16位的變址寄存器。SI(SourceIndexRegister):源變址寄存器。DI(DestinationIndexRegister):目的變址寄存器,可用作間接尋址、變址尋址和基址變址尋址的寄存器。2.1.18086內(nèi)部結(jié)構(gòu)(2)算數(shù)邏輯單元(ALU)及標志寄存器(Flag)算術(shù)邏輯單元(ALU)實際上就是計算機的運算器,進行各種運算。①算術(shù)運算:加、減、加1、減1、比較、求反、求補、乘、除運算;②邏輯運算:邏輯運算包括:邏輯與、邏輯或、邏輯非、邏輯異或以及移位、循環(huán)移位等運算和操作。

2.1.18086內(nèi)部結(jié)構(gòu)

標志寄存器FLAG是一個16位寄存器,使用其中的9位作為條件標志(狀態(tài)標志)和控制標志。條件標志(6位)根據(jù)算數(shù)邏輯運算的結(jié)果由硬件自行設(shè)定,表示前一步操作執(zhí)行以后,ALU所處的狀態(tài),后續(xù)操作可根據(jù)狀態(tài)標志進行判斷;控制標志(3位)由用戶通過指令進行設(shè)定,用以對某一種特定的功能起控制作用,反映了人們對微機系統(tǒng)工作方式的可控制性。2.1.18086內(nèi)部結(jié)構(gòu)

①條件標志(6位)

CF(CarryFlag):進位標志位。

PF(ParityFlag):奇偶標志位。

AF(AuxiliaryCarryFlag):輔助進位標志位(半進位標志位)。

ZF(ZeroFlag):零標志位。

SF(SignFlag):符號標志位。

OF(OverflowFlag):溢出標志位。進位標志CF(CarryFlag)當加減運算結(jié)果的最高有效位有進位(加法)或借位(減法)時,進位標志置1,即CF=1;否則CF=0針對無符號整數(shù),判斷加減結(jié)果是否超出表達范圍N個二進制位表達無符號整數(shù)的范圍:0~2N-18位:0~+25516位:0~+655358位二進制數(shù)相加:

00111010+01111100=10110110十六進制表達:3A+7C=B6轉(zhuǎn)換成十進制數(shù):58+124=182沒有產(chǎn)生進位:CF=08位二進制數(shù)相加:

10101010+01111100=[1]00100110十六進制表達:AA+7C=[1]26轉(zhuǎn)換成十進制數(shù):170+124=294=256+38產(chǎn)生進位:CF=10<182<255進位1表達256溢出標志OF(OverflowFlag)有符號數(shù)加減結(jié)果有溢出,則OF=1;否則OF=0針對有符號整數(shù),判斷加減結(jié)果是否超出表達范圍N個二進制位表達有符號整數(shù)的范圍:-2N-1~2N-1-18位:-128~+12716位:-32768~+32767杯中水已滿,再加就溢出!8位二進制數(shù)相加:

00111010+01111100=10110110十六進制表達:3A+7C=B6轉(zhuǎn)換成十進制數(shù):58+124=182超出范圍:OF=18位二進制數(shù)相加:

10101010+01111100=[1]00100110十六進制表達:AA+7C=[1]26轉(zhuǎn)換成十進制數(shù):-86+124=38沒有超出范圍:OF=0182>127補碼AAH表達-86進位和溢出的區(qū)別進位標志反映無符號整數(shù)運算結(jié)果是否超出范圍有進位,加上進位或借位后運算結(jié)果仍然正確溢出標志反映有符號整數(shù)運算結(jié)果是否超出范圍有溢出,運算結(jié)果已經(jīng)不正確處理器按照無符號整數(shù)求得結(jié)果設(shè)置進位標志CF、OF程序員決定操作數(shù)是無符號數(shù),關(guān)心進位操作數(shù)是有符號數(shù),注意溢出溢出標志的判斷處理器硬件判斷規(guī)則最高位和次高位同時有進位或同時無進位,無溢出;最高位和次高位進位狀態(tài)不同,有溢出人工判斷的簡單規(guī)則只有當兩個相同符號數(shù)相加(含兩個不同符號數(shù)相減),而運算結(jié)果的符號與原數(shù)據(jù)符號相反時,產(chǎn)生溢出;其他情況下,不會產(chǎn)生溢出

00111010+01111100

10110110正數(shù)正數(shù)負數(shù)最高位次高位零標志ZF(ZeroFlag)運算結(jié)果為0,則ZF=1,否則ZF=0結(jié)果是0,ZF標志不是0

!舉例8位二進制數(shù)相加:

00111010+01111100=10110110

結(jié)果不是0,ZF=08位二進制數(shù)相加:

10000100+01111100=[1]00000000

結(jié)果是0,ZF=1結(jié)果進位符號標志SF(SignFlag)運算結(jié)果最高位為1,則SF=1;否則SF=08位二進制數(shù)相加:

00111010+01111100=10110110

最高位=1:SF=18位二進制數(shù)相加:

10000100+01111100=[1]00000000

最高位=0:SF=0結(jié)果進位最高位=符號位=SF奇偶標志PF(ParityFlag)當運算結(jié)果最低字節(jié)中“1”的個數(shù)為零或偶數(shù)時,PF=1;否則PF=08位二進制數(shù)相加:

00111010+01111100=10110110

“1”的個數(shù)為5個:PF=08位二進制數(shù)相加:

10000100+01111100=[1]00000000

“1”的個數(shù)為0個:PF=1結(jié)果進位僅最低8位“1”的個數(shù)

兩正數(shù)相加(補碼相加),結(jié)果為負數(shù),顯然運算產(chǎn)生了溢出,即超出了機器所能表示的范圍故OF=1;SF=1;ZF=0;PF=1;CF=0;AF=1。例:將十六進制數(shù)5439H和356AH相加,并說明其標志狀態(tài)。0101010000111001+01000101011010101001100110100011

②條件標志(3位)

TF(TrapFlag):陷阱標志位。

IF(Interrupt-enableFlag):中斷允許標志位。

DF(DirectionFlag):方向標志位。該標志由方向控制指令STI或CLI設(shè)置或清除。

(3)內(nèi)部控制邏輯內(nèi)部控制邏輯用于實現(xiàn)EU內(nèi)部控制,主要功能為從指令隊列緩沖器中去除指令,并對指令進行譯碼,產(chǎn)生各種控制信號,控制各部件的協(xié)同工作,來完成指令的執(zhí)行過程。2.1.18086內(nèi)部結(jié)構(gòu)

2.總線接口單元(BIU)

BIU負責CPU與存儲器、I/O設(shè)備之間傳送數(shù)據(jù)、地址、狀態(tài)及控制信息。它由段寄存器(DS、CS、ES、SS)、16位指令指針寄存器IP(指向下一條要取出的指令代碼)、20位地址加法器(用來產(chǎn)生20位地址)和6字節(jié)(8088為4字節(jié))指令隊列緩沖器等組成。2.1.18086內(nèi)部結(jié)構(gòu)

(1)段地址寄存器

訪問存儲器的物理地址由段地址和段內(nèi)偏移地址兩部分組成。段地址寄存器用來存放段地址,也稱段寄存器??偩€接口單元

BIU有4個段寄存器。分別為:CS、DS、ES和SS。段寄存器用于存放段基地址值(16位無符號數(shù))。CPU可以通過4個段寄存器訪問存儲器中4個不同的段(每段最大64K字節(jié))。2.1.18086內(nèi)部結(jié)構(gòu)①CS(代碼段寄存器)CS是存放當前執(zhí)行程序所在段的段地址。CS的內(nèi)容左移四位加上指令指針I(yè)P的內(nèi)容就是下一條要執(zhí)行的指令的地址。②DS(數(shù)據(jù)段寄存器)DS是存放當前使用數(shù)據(jù)的段地址。通常數(shù)據(jù)段用來存放各種數(shù)據(jù)。DS的內(nèi)容左移四位加上按指令中存儲器尋址方式計算出來的偏移地址,就是對數(shù)據(jù)段指定單元進行讀寫的地址。2.1.18086內(nèi)部結(jié)構(gòu)③ES(附加段寄存器)附加段經(jīng)常在字符串操作時作為目的區(qū)使用,ES存放附加段的段地址,DI存放目的區(qū)的偏移地址。④SS(堆棧段寄存器)SS是存放當前堆棧段的地址。堆棧是存儲器中開辟的按后進先出的原則組織的一個特別存儲區(qū)。2.1.18086內(nèi)部結(jié)構(gòu)

(2)地址加法器地址加法器用于由邏輯地址計算20位物理地址,邏輯地址指程序員編寫程序使用的地址,一般指段地址和段內(nèi)偏移地址。

(3)指令指針寄存器(IP)指令指針寄存器又稱為程序計數(shù)器,它是一個16位寄存器。IP中存放當前將要執(zhí)行的指令的有效地址。2.1.18086內(nèi)部結(jié)構(gòu)

(4)指令隊列緩沖器指令隊列緩沖器是一個與CPU速度相匹配的高速緩沖寄存器。8086緩沖器為6個字節(jié),8088為4個字節(jié),

。(5)總線控制邏輯總線控制邏輯的功能是產(chǎn)生CPU外部三總線(AB、DB、CB)的控制信號,控制CPU與其它部件交換數(shù)據(jù)、地址、狀態(tài)及控制信息。2.1.18086內(nèi)部結(jié)構(gòu)

3.總線接口單元(BIU)和執(zhí)行單元(EU)的管理在EU執(zhí)行指令的過程中,BIU始終根據(jù)指令指示器IP提供的地址,從存放指令的存儲器中預(yù)先取出一串指令存放到指令隊列中。取來的指令在指令隊列中是按字節(jié)順序存放的,這樣EU不必等待BIU去取指令。2.1.18086內(nèi)部結(jié)構(gòu)指令執(zhí)行的兩個主要階段:取指和執(zhí)行取指:從主存取出指令代碼進入指令隊列執(zhí)行:譯碼指令、并發(fā)出有關(guān)控制信號實現(xiàn)指令功能取指譯碼執(zhí)行2.1.18086內(nèi)部結(jié)構(gòu)8088指令執(zhí)行過程示意

存儲器是計算機中存儲信息和數(shù)據(jù)的物理部件。

所有的程序和數(shù)據(jù)必須被調(diào)入內(nèi)存后,才能被計算機執(zhí)行和處理。

2.1.28086/8088存儲器及I/O組織1.存儲單元地址和內(nèi)容

8086CPU的存儲器是以字節(jié)(8位)為單位組織的。它們具有20條地址總線,故可尋址的地址空間容量為220=1MB。每個字節(jié)對應(yīng)唯一一個地址,地址范圍0~220-1,用十六進制表示為00000~FFFFFH。2.1.28086/8088存儲器及I/O組織

2.存儲器的結(jié)構(gòu)及訪問

存儲器內(nèi)部是按字節(jié)進行組織的,兩個相鄰的字節(jié)被稱為一個“字”。在一個字中每個字節(jié)用一個唯一的地址碼進行表示。存放的信息以字節(jié)為單位,在存儲器中按順序排列存放。2.1.28086/8088存儲器及I/O組織

若存放的數(shù)據(jù)為一個字,則將該字的低字節(jié)(低8位)存放在低地址中,高字節(jié)(高8位)存放在高地址中,并以低地址作為該字的地址。如果一個字是從偶地址開始存放,稱為對準字。如果一個字是從奇地址開始存放,稱為非對準字。2.1.28086/8088存儲器及I/O組織

3.存儲器的分段

8086CPU地址總線為20條,直接可尋址的存儲器空間可達到1MB,但是,8086CPU內(nèi)所有的寄存器(CS、DS、SS、ES、SP、BP、SI、DI和IP)都是16位的,最多只能尋址64KB空間。因此,為了對整存儲器空間尋址,需要20位長的地址碼。2.1.28086/8088存儲器及I/O組織

將16位段基址左移4位,然后與16位段內(nèi)偏移地址相加而獲得20位物理地址。

物理地址=段地址×16+段內(nèi)偏移地址

當CPU訪問存儲器時,必須完成上述的地址計算。

2.1.28086/8088存儲器及I/O組織邏輯地址是在處理器內(nèi)部、程序員編程時采用的地址邏輯地址=段基地址∶偏移地址段基地址=在主存中的起始地址偏移地址=距離段基地址的位移量某個存儲單元可以有多個邏輯地址,但只有一個唯一的物理地址邏輯地址線性地址物理地址編程使用處理器轉(zhuǎn)換地址總線輸出2.1.28086/8088存儲器及I/O組織邏輯地址與物理地址108208308106206306107207307109209309110105104103102101210205204203202201310305304303302301081828061626071727091929100504030201201514131211302524232221邏輯地址=相對地址:205(2層05號房間)物理地址=絕對地址:15(第15號房間)邏輯地址和物理地址

4.8086CPU的I/O地址空間

8086CPU和外部設(shè)備之間的數(shù)據(jù)交互是由I/O接口電路完成的。每個I/O接口往往包含一個或多個端口,這些端口通常對應(yīng)I/O接口電路內(nèi)部的一個或多個寄存器。8086CPU利用地址總線的低16位作為對8位I/O端口的尋址線。

2.1.28086/8088存儲器及I/O組織

當I/O設(shè)備接在地址總線低8位(AD7~AD0)上時,這個I/O設(shè)備所包括的所有端口地址都將是偶數(shù)地址(即A0=0);若I/O設(shè)備是接在地址總線的高8位(AD15~AD8),那么此設(shè)備包含的所有端口地址都是奇數(shù)地址(即A0=1)。

2.1.28086/8088存儲器及I/O組織2.28086引腳功能及工作模式

8086CPU是一個40引腳的器件,它采用分時復(fù)用的地址/數(shù)據(jù)總線,因而部分引腳具有兩種功能。

8086設(shè)計了最小工作模式和最大工作模式,在兩種工作模式下,部分引腳的功能是不同的。2.2.18086引腳信號功能

12345678910111213141516171819204039383736353433323130292827262524232221GNDAD14AD13AD12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0NMIINTRCLKGNDVCCAD15A16/S3A17/S4A18/S5A19/S6BHE*/S7MN/MX*RD*HOLD(RQ*/GT0*)HLDA(RQ1*/GT1*)WR*(LOCK*)M/IO*(S2*

)DT/R*(S1*

)DEN(S0

)ALEINTATEST*READYRESET8086

在最小模式和最大模式下的通用引腳:

1.電源

VCC:輸入,接入±5V電源。

GND:輸入,兩條地線均應(yīng)接地。

2.AD15~AD0:(AddressDataBus)AD15~AD0是地址/數(shù)據(jù)分時復(fù)用總線,雙向,三態(tài)。

2.2.18086引腳信號功能

3.A19/S6~A16/S3

地址/狀態(tài)分時復(fù)用信號,輸出。在總線周期的T1狀態(tài)A19/S6~A16/S3上出現(xiàn)的是地址的高4位。在T2~T4狀態(tài),A19/S6~A16/S3上輸出狀態(tài)信息

。

2.2.18086引腳信號功能

4.BHE/S7(BusHighEnable/Status)地址/狀態(tài)分時復(fù)用信號,輸出。在總線周期的T1狀態(tài)A19/S6~A16/S3上出現(xiàn)的是地址的高4位。在T2~T4狀態(tài),A19/S6~A16/S3上輸出狀態(tài)信息

。

2.2.18086引腳信號功能

——

5.NMI(Non-MaskableInterrupt)

NMI為非屏蔽中斷請求,輸入,高電平有效。NMI不受中斷允許標志的影響。當CPU檢測到NMI有一個上升沿的信號以后,CPU執(zhí)行完當前指令便響應(yīng)中斷類型碼為2的非屏蔽中斷請求。

2.2.18086引腳信號功能

6.INTR(InterruptRequest)

INTR為可屏蔽中斷請求,輸入,高電平有效。如果INTR信號有效,當CPU的中斷允許標志IF=1時,CPU結(jié)束當前指令后,響應(yīng)INTR中斷請求。2.2.18086引腳信號功能

7.RD(Read)

讀信號,輸出,三態(tài)。CPU執(zhí)行對存儲器或I/O端口的讀操作時,T2~T3期間有效,到底是讀存儲器還是讀I/O端口取決于M/IO,若為高則為讀存儲器,否則為讀I/O端口。2.2.18086引腳信號功能

————

8.CLK(Clock)

時鐘信號,輸入。為CPU和總線控制邏輯提供時鐘信號。要求時鐘信號的占空比為1/3,即一個周期中,1/3為高電平,2/3為低電平。CPU的所有操作均是在時鐘的同步下進行的。2.2.18086引腳信號功能

9.RESET(Reset)

復(fù)位信號,輸入,高電平有效。復(fù)位信號有效時,CPU結(jié)束當前操作并對標志寄存器、IP、DS、SS、ES及指令隊列清零,并將CS設(shè)置為FFFFH。當復(fù)位信號撤除時,CPU從FFFF0H地址開始執(zhí)行程序。2.2.18086引腳信號功能

10.READY(Ready)

READY為準備好判斷信號,輸入,高電平有效。CPU在每個總線周期的T3狀態(tài)前沿對READY進行采樣。當READY信號有效時表示存儲器或I/O準備好發(fā)送或接收數(shù)據(jù)。

2.2.18086引腳信號功能

11.TEST(Test)測試信號,輸入,低電平有效。該信號與WAIT指令配合使用,在CPU執(zhí)行WAIT指令時,CPU一直處于空轉(zhuǎn)狀態(tài),進行等待。只有當8086檢測到信號有效時,才結(jié)束等待狀態(tài),繼續(xù)執(zhí)行向下執(zhí)行指令。

2.2.18086引腳信號功能

——

12.MN/MX(Minimum/MaximumModeControl)MN/為最大/最小模式控制信號,輸入。決定8086工作在哪種工作模式。如果MN/=1(+5V),CPU工作在最小模式狀態(tài),MN/=0(接地),CPU工作在最大模式狀態(tài)。2.2.18086引腳信號功能

——最小工作模式:系統(tǒng)中只有一個8086CPU,在這種情況下,所有的總線控制信號,都是直接由8086CPU產(chǎn)生,系統(tǒng)中的總線控制邏輯電路被減到最少,該模式適用于規(guī)模較小的微機應(yīng)用系統(tǒng)。2.2.28086兩種工作模式

最大工作模式:最大工作模式用在中、大規(guī)模的微機應(yīng)用系統(tǒng)中。在最大工作模式下,系統(tǒng)中至少包含兩個微處理器,其中一個為主處理器,即8086CPU,其它的微處理器稱之為協(xié)處理器。一類是數(shù)值協(xié)處理器8087,另一類是輸入/輸出協(xié)處理器8089。2.2.28086兩種工作模式

1.總線周期在微型計算機系統(tǒng)中,CPU按照一定的時序,通過BIU對外部存儲器或I/O接口完成一次訪問,稱為CPU執(zhí)行了一次總線操作。執(zhí)行一次總線操作的周期稱為一個總線周期。執(zhí)行一條指令所需要的時間稱為指令周期,而CLK時鐘脈沖的重復(fù)周期稱為時鐘周期

。2.2.38086微處理器的總線操作

2.復(fù)位操作及時序復(fù)位操作是通過RESET引腳上的觸發(fā)信號實現(xiàn)的,其在最大工作模式和最小工作模式下都是一樣的。當RESET引腳上有高電平時,CPU就結(jié)束當前操作,進入初始化(復(fù)位)過程。不管CPU原來做任何種操作,對RESET信號的響應(yīng)都是立即進行的。2.2.38086微處理器的總線操作

3.典型總線操作時序

CPU為了與存儲器或I/O端口進行一個字節(jié)的數(shù)據(jù)交換,需要執(zhí)行一次總線操作,按數(shù)據(jù)傳輸?shù)姆较騺矸?,可將總線操作分為讀操作和寫操作兩種類型;按照讀/寫的不同對象,總線操作又可分為存儲器讀/寫與I/O讀/寫操作。

2.2.38086微處理器的總線操作

(1)最小模式下的總線讀周期

8086CPU進行存儲器讀操作時,便進入存儲器讀周期時序,一個最基本的讀周期包含有4個狀態(tài),即T1、T2、T3、T4,必要時可插入1個或幾個TW。2.2.38086微處理器的總線操作8086的總線讀周期時序

(2)最小模式下的總線寫周期

當CPU要向內(nèi)存或I/O端口輸出數(shù)據(jù)時,進入總線寫周期。最基本的總線寫周期也包括T1、T2、T3、T4四個狀態(tài),在內(nèi)存或外設(shè)速度較慢的情況下,必要時在之后插入若干個TW狀態(tài)。2.2.38086微處理器的總線操作8086的總線寫周期時序

4.最大模式下的總線操作與最小模式的差異在最大工作模式下,8086的總線讀寫操作在邏輯上和最小模式下的讀寫操作是一樣的,但在最大方式時,增設(shè)總線控制器8288,總線控制信號不再由CPU直接輸出,而是由總線控制器根據(jù)CPU給出的狀態(tài)信號S2~S0進行綜合后產(chǎn)生,因此在分析操作時序時要考慮CPU和總線控制器8288兩者產(chǎn)生的控制信號。2.2.38086微處理器的總線操作2.380X86微處理器

Intel公司在推出了16位微處理器8086之后,相繼推出了80286、80386、80486、Pentium、PentiumPro、PentiumⅡ、PentiumⅢ、PentiumⅣ等80X86系列高檔微處理器。從基本概念、結(jié)構(gòu)乃至指令系統(tǒng)來看,它們是8086的延續(xù)和擴展。

80386DX封裝在一個132引腳芯片80486DX是一個168引腳的芯片Pentium具有237個引腳PentiumPro有387個引腳PentiumIV達到423個引腳處理器的主要引腳——數(shù)據(jù)總線、地址總線和讀寫控制總線——幾乎相同摩爾定律1.集成電路芯片上所集成的電路的數(shù)目,每隔18個月就翻一番。2.微處理器的性能每隔18個月提高一倍,而價格下降一半。3.用一個美元所能買到的電腦性能,每隔18個月翻兩番。

戈登·摩爾GordonMoore

1982年1月推出的80286在IBMPC/AT中得以推廣應(yīng)用。該芯片上共集成了13.5萬只晶體管,采用68個引腳的4列直插式封裝,地址線和數(shù)據(jù)線不再分時復(fù)用,分開設(shè)置16條獨立的數(shù)據(jù)線和24條獨立的地址線。

2.3.180286微處理器

80286內(nèi)部包含4個獨立的可并行操作的功能部件:總線接口部件BIU、地址部件AU、指令部件IU和執(zhí)行部件EU。并行工作運行速度,80286的運行速度為8~10MHz,后期80286版本達到20MHz。

2.3.180286微處理器

80286內(nèi)部的通用寄存器(包含4個數(shù)據(jù)寄存器和4個基址變址寄存器)、4個段寄存器和1個指令指針寄存器,其用途和含義與8086的寄存器一樣。不同之處在于標志寄存器(FLAGS)新增了兩個標志(占3位)以及增加了1個機器狀態(tài)字(MSW)。

2.3.180286微處理器

嵌套標志(NT/D14):NT=1,表示當前執(zhí)行的任務(wù)嵌套于另一個任務(wù)中,執(zhí)行該任務(wù)后,要返回原來的任務(wù)中;NT=0,表示沒有任務(wù)嵌套。I/O特權(quán)標志位(IOPL/D13、D12),用以表示在保護模式下指定的I/O操作處于特權(quán)層的哪一層。

機器狀態(tài)字(MSW)是一個16位寄存器,只定義了它的低4位:保護允許(PE)、協(xié)處理器監(jiān)控(MP)、模擬協(xié)處理器(EM),任務(wù)切換(TS)。

80286有實地址和虛地址保護方式兩種工作方式。

80386是Intel公司首次推出的32位微處理器,具有6個獨立部件:總線接口部件、指令預(yù)取部件、指令譯碼部件、執(zhí)行部件、分段部件和分頁部件。6個部件按流水線結(jié)構(gòu)設(shè)計,指令的預(yù)取、譯碼、執(zhí)行等步驟由各自的處理部件并行操作。

2.3.280386微處理器

80386共有8類寄存器。控制寄存器、系統(tǒng)地址寄存器、調(diào)試寄存器和測試寄存器主要是用于簡化設(shè)計和對系統(tǒng)進行調(diào)試;通用寄存器、段寄存器、指令指示器、標志寄存器用于存放數(shù)據(jù)或地址。2.3.280386微處理器

均可完成8位、16位、32位的操作數(shù)或16位、32位操作數(shù)地址的存放。

圖2-2180386的部分寄存器

80386的32位指令指示器(EIP)是8086中IP的擴展,用來存放下一條要執(zhí)行的指令的地址偏移量。EFLAGS的低16位仍是80286的IP和FLAGS,并可單獨使用;增加了兩個標志位,虛擬8086方式標志(VM)和恢復(fù)標志或重新啟動標志(RF)。

80386在原有8086、80286的基礎(chǔ)上增加兩個段寄存器,為此80386內(nèi)部有6個16位的段寄存器CS、DS、ES、SS、GS和F

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論