微控制器原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書_第1頁
微控制器原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書_第2頁
微控制器原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書_第3頁
微控制器原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書_第4頁
微控制器原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書_第5頁
已閱讀5頁,還剩81頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

PAGEPAGE23微控制器原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書鄭州輕工業(yè)學(xué)院電氣信息工程學(xué)院2015年03月目錄1、實(shí)驗(yàn)系統(tǒng)簡介 11.1試驗(yàn)系統(tǒng)硬件組成 11.1.1核心板硬件電路 11.1.2其它硬件資源 31.1.3硬件框圖 41.1.4硬件仿真器 41.2試驗(yàn)系統(tǒng)軟件組成 51.2.1單片機(jī)IDE軟件KeiluVision4 51.2.2電子仿真應(yīng)用軟件PROTEUS 121.2.3ISP下載器軟件 192、實(shí)驗(yàn)要求 212.1實(shí)驗(yàn)素養(yǎng)和一般知識 212.1.1實(shí)驗(yàn)初期準(zhǔn)備 212.1.2實(shí)驗(yàn)室前準(zhǔn)備 212.1.3實(shí)驗(yàn)過程 222.2實(shí)驗(yàn)報(bào)告內(nèi)容和要求 223、實(shí)驗(yàn)項(xiàng)目 22實(shí)驗(yàn)一I/O端口輸入輸出實(shí)驗(yàn) 22實(shí)驗(yàn)二八段碼管顯示實(shí)驗(yàn) 25實(shí)驗(yàn)三鍵盤掃描顯示實(shí)驗(yàn) 28實(shí)驗(yàn)四D/A0832數(shù)模轉(zhuǎn)換實(shí)驗(yàn) 30實(shí)驗(yàn)五雙機(jī)通訊實(shí)驗(yàn) 32實(shí)驗(yàn)六溫度測量實(shí)驗(yàn) 36實(shí)驗(yàn)七A/D0809模數(shù)轉(zhuǎn)換實(shí)驗(yàn) 38實(shí)驗(yàn)八DS1302時(shí)鐘實(shí)驗(yàn) 41實(shí)驗(yàn)九直流電機(jī)控制實(shí)驗(yàn) 44實(shí)驗(yàn)十LCD12864顯示實(shí)驗(yàn) 47實(shí)驗(yàn)十一紅外遙控解碼實(shí)驗(yàn) 50實(shí)驗(yàn)十二16X16點(diǎn)陣漢字顯示實(shí)驗(yàn) 53實(shí)驗(yàn)十三光耦隔離繼電器控制實(shí)驗(yàn) 55實(shí)驗(yàn)十四ISD1760語音錄放實(shí)驗(yàn) 57實(shí)驗(yàn)十五TLC2543模數(shù)轉(zhuǎn)換實(shí)驗(yàn) 59實(shí)驗(yàn)十六TLC5615數(shù)模轉(zhuǎn)換實(shí)驗(yàn) 61實(shí)驗(yàn)十七超聲波測距實(shí)驗(yàn) 634、附錄 651、實(shí)驗(yàn)系統(tǒng)簡介本實(shí)驗(yàn)箱完全覆蓋各我校開設(shè)的“微控制器原理與接口技術(shù)”,“微控制器應(yīng)用技術(shù)實(shí)驗(yàn)”,“單片機(jī)C語言程序設(shè)計(jì)”等微控制器(單片機(jī))及其應(yīng)用技術(shù)教學(xué)大綱的要求,本實(shí)驗(yàn)箱將Proteus仿真與硬件實(shí)訓(xùn)緊密結(jié)合,大大提高實(shí)驗(yàn)實(shí)訓(xùn)效果。實(shí)驗(yàn)箱提供了計(jì)算機(jī)平臺、正版Proteus軟件、豐富的硬件資源與接口電路,還包括單片機(jī)的一些典型應(yīng)用電路,對于程度較高的同學(xué),本系統(tǒng)極其豐富的功能單元和可搭接的靈活性,使他們完全能夠做出超出大綱要求的具有復(fù)雜性和創(chuàng)造性的綜合實(shí)驗(yàn),是我校的單片機(jī)教學(xué)、課程設(shè)計(jì)以及實(shí)訓(xùn)、考核競賽的實(shí)驗(yàn)教學(xué)設(shè)備。1.1試驗(yàn)系統(tǒng)硬件組成1.1.1核心板硬件電路1.AT89S52單片機(jī)最小系統(tǒng)2.AT89S52單片機(jī)接口3.核心板絲印圖4.引腳對照表底板絲印腳芯片綁定腳底板絲印腳芯片綁定腳P00P0.0P10P1.0P01P0.1P11P1.1P02P0.2P12P1.2P03P0.3P13P1.3P04P0.4P14P1.4P05P0.5P15P1.5P06P0.6P16P1.6P07P0.7P17P1.7P20P2.0P30P3.0P21P2.1P31P3.1P22P2.2P32P3.2P23P2.3P33P3.3P24P2.4P34P3.4P25P2.5P35P3.5P26P2.6P36P3.6P27P2.7P37P其它硬件資源實(shí)驗(yàn)箱包含的基本硬件資源電路除了核心板電路,還有以下32個(gè)基本模塊:1)16*16點(diǎn)陣顯示實(shí)驗(yàn)電路,2)LCD1602字符液晶屏顯示電路,3)LCD12864點(diǎn)陣液晶屏顯示電路,4)八位8段碼LED數(shù)碼管電路,5)74LS373鎖存器電路,6)74LS47BCD譯碼器電路,7)74LS245總線收發(fā)電路,8)74LS04反相器電路,9)有源蜂鳴器電路,10)硬件看門狗電路,11)EEPROM電路,12)時(shí)鐘電路,13)八位邏輯電平顯示電路,14)八位邏輯電平開關(guān)電路,15)八位輕觸按鍵電路,16)74LS164串入并出移位電路,17)74LS165并入串出移位電路,18)一路繼電器控制電路,19)兩路光耦隔離電路,20)ADC0809模數(shù)轉(zhuǎn)換電路,21)DAC0832數(shù)模轉(zhuǎn)換電路,22)熱敏電阻傳感電路,23)DS18B20單線溫度傳感電路,24)溫度加熱控制電路,25)濕度檢測電路,26)紅外收發(fā)電路,27)兩路MAX232接口通訊電路,28)兩路MAX485接口通訊電路,29)直流電機(jī)及驅(qū)動電路,30)步進(jìn)電機(jī)及驅(qū)動電路,31)直流電機(jī)測速電路,32)4*4矩陣鍵盤帶中斷輸出電路。4個(gè)擴(kuò)展模塊:1)TLC2543模數(shù)轉(zhuǎn)換和TLC5615數(shù)模轉(zhuǎn)換模塊,2)人體紅外傳感和超聲波測距模塊,3)ISD1760語音錄放模塊,4)信號源模塊。1.1.3硬件框圖1.1.4硬件仿真器51TRACERmini仿真器是深圳市學(xué)林電子有限公司開發(fā)生產(chǎn)的具有自主知識產(chǎn)權(quán)的新一代專業(yè)仿真器,采用雙CPU方案,一顆負(fù)責(zé)和KEIL解釋,另外一顆負(fù)責(zé)運(yùn)行用戶程序,同時(shí)巧妙利用CPU的P4口通信,釋放51的P30,P31,體積較小,是目前價(jià)格最低的專業(yè)級別51單片機(jī)仿真器,足以勝任大型項(xiàng)目開發(fā)。其具體使用方法參考51MINI仿真器用戶手冊,也可以參考相關(guān)網(wǎng)站。1.2試驗(yàn)系統(tǒng)軟件組成1.2.1單片機(jī)IDE軟件KeilC51是美國KeilSoftware公司出品的51系列兼容單片機(jī)C語言軟件開發(fā)系統(tǒng),與匯編相比,C語言在功能上、結(jié)構(gòu)性、可讀性、可維護(hù)性上有明顯的優(yōu)勢,因而易學(xué)易用。Keil提供了包括C編譯器、宏匯編、連接器、庫管理和一個(gè)功能強(qiáng)大的仿真調(diào)試器等在內(nèi)的完整開發(fā)方案,通過一個(gè)集成開發(fā)環(huán)境(uVision)將這些部分組合在一起。運(yùn)行Keil軟件需要WIN2000、WINXP、WIN7等操作系統(tǒng)。如果使用C語言編程,那么Keil幾乎就是必選,即使不使用C語言而僅用匯編語言編程,其方便易用的集成環(huán)境、強(qiáng)大的軟件仿真調(diào)試工具也會令你事半功倍。1、KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的整體結(jié)構(gòu)C51工具包的整體結(jié)構(gòu),uVision與Ishell分別是C51forWindows和forDos的集成開發(fā)環(huán)境(IDE),可以完成編輯、編譯、連接、調(diào)試、仿真等整個(gè)開發(fā)流程。開發(fā)人員可用IDE本身或其它編輯器編輯C或匯編源文件。然后分別由C51及C51編譯器編譯生成目標(biāo)文件(.OBJ)。目標(biāo)文件可由LIB51創(chuàng)建生成庫文件,也可以與庫文件一起經(jīng)L51連接定位生成絕對目標(biāo)文件(.ABS)。ABS文件由OH51轉(zhuǎn)換成標(biāo)準(zhǔn)的Hex文件,以供調(diào)試器dScope51或tScope51使用進(jìn)行源代碼級調(diào)試,也可由仿真器使用直接對目標(biāo)板進(jìn)行調(diào)試,也可以直接寫入程序存貯器如EEPROM中。2、KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的特點(diǎn)(1)KeilC51生成的目標(biāo)代碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解,在開發(fā)大型軟件時(shí)更能體現(xiàn)高級語言的優(yōu)勢。(2)與匯編相比,C語言在功能上、結(jié)構(gòu)性、可讀性、可維護(hù)性上有明顯的優(yōu)勢,因而易學(xué)易用。用過匯編語言后再使用C來開發(fā),體會更加深刻。KeilC51軟件提供豐富的庫函數(shù)和功能強(qiáng)大的集成開發(fā)調(diào)試工具,全Windows界面。(3)KeilμVision4引入靈活的窗口管理系統(tǒng),使開發(fā)人員能夠使用多臺監(jiān)視器,并提供了視覺上的表面對窗口位置的完全控制的任何地方。新的用戶界面可以更好地利用屏幕空間和更有效地組織多個(gè)窗口,提供一個(gè)整潔,高效的環(huán)境來開發(fā)應(yīng)用程序。新版本支持更多最新的ARM芯片,還添加了一些其他新功能。(4)本實(shí)驗(yàn)指導(dǎo)書提供的實(shí)驗(yàn)代碼都經(jīng)過KeiluVision4調(diào)試、編譯通過。3、KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用(1)首先我們要養(yǎng)成一個(gè)習(xí)慣:最好先建立一個(gè)空文件夾,把您的工程文件放到里面,以避免和其他文件混合,如下圖可先創(chuàng)建了一個(gè)名為“Mytest”文件夾。(2)雙擊桌面上的\o"KeiluVision4"KeiluVision4圖標(biāo),啟動Keil軟件,點(diǎn)擊“projectNewuVisionProject”新建一個(gè)工程。(3)在對話框,選擇放在剛才建立的“Mytest”文件夾下,給這個(gè)工程取個(gè)名后保存,不需要填后綴,注意默認(rèn)的工程后綴與uVision3及uVision2版本不同了,為uvporj:(4)彈出一個(gè)框,在CPU類型下找到并選中“Atmel”下的AT89S52。(5)出現(xiàn)下圖畫面,然后點(diǎn)擊是。(6)以上工程創(chuàng)建完畢,接下來開始建立一個(gè)源程序文本。(7)在下面空白區(qū)別寫入或復(fù)制一個(gè)完整的ASM程序。(8)點(diǎn)擊存盤后,輸入源程序文件名名稱,這個(gè)名稱可以隨便命名。注意:如果您想用C語言,則是“test.c”,如果是匯編語言,后綴名一定是“.asm”,然后保存:(9)此時(shí)可以看到程序文本字體顏色已發(fā)生了變化,接下來需要把剛創(chuàng)建的源程序文件加入到工程項(xiàng)目文件中,選中“SourceGroup1”,點(diǎn)擊鼠標(biāo)右鍵,選中“AddfilestoGroup‘SourceGroup1···’”點(diǎn)擊鼠標(biāo)左鍵,在下拉式菜單“文件類型”中選擇AsmSourcefile(*.s*;*.src;*.a*),選中“test.asm”,點(diǎn)擊“ADD”按鈕,再點(diǎn)擊“Close”關(guān)閉。(10)最后再設(shè)置,按下圖設(shè)置晶振,建議初學(xué)者修改成12M,因12MHZ方便計(jì)算指令時(shí)間。(11)在Output欄選中CreateHEXFile,使編譯器輸出單片機(jī)需要的HEX文件。(12)工程項(xiàng)目創(chuàng)建和設(shè)置全部完成,點(diǎn)擊保存,再點(diǎn)擊雙向下的箭頭編譯。查看工程文件夾內(nèi)容,其中test.hex就是要下載到單片機(jī)的文件。以上圖文描述的是KEILuVISION的使用入門,這些是單片機(jī)基礎(chǔ)知識和基本操作必備的。KEILuVISION擁有強(qiáng)大的功能,還有仿真、調(diào)試等功能,在此不一一詳解,建議同學(xué)們找本書好好學(xué)習(xí)一下,真正到開發(fā)時(shí)還是要用到的。1.2.2電子仿真應(yīng)用軟件PROTEUS1.Proteus仿真軟件技術(shù)指標(biāo)Proteus仿真軟件是當(dāng)今最先進(jìn)的基于Prospice的工業(yè)級的電路仿真平臺,可以實(shí)現(xiàn)電路的在線仿真,并配備有逼真的動態(tài)器件,實(shí)現(xiàn)直觀的運(yùn)行仿真效果;配備有豐富的虛擬儀器和資源,實(shí)現(xiàn)基于虛擬電路的測量與調(diào)試。主要指標(biāo)如下。(1)智能原理圖設(shè)計(jì)Proteus仿真軟件具有豐富的器件庫;超過35000種元器件,可方便地創(chuàng)建新元件;智能的器件搜索;通過模糊搜索可以快速定位所需要的器件;智能化的連線功能;自動連線功能使連接導(dǎo)線簡單快捷,大大縮短繪圖時(shí)間;支持總線結(jié)構(gòu);使用總線器件和總線布線使電路設(shè)計(jì)簡明清晰;可輸出高質(zhì)量圖紙;通過個(gè)性化設(shè)置,可以生成印刷質(zhì)量的BMP圖紙,可以方便地供WORD、POWERPOINT等多種文檔使用。(2)完善的仿真功能Proteus仿真軟件具有ProSPICE混合仿真;基于工業(yè)標(biāo)準(zhǔn)SPICE3F5,實(shí)現(xiàn)數(shù)字/模擬電路的混合仿真;超過27000個(gè)仿真器件;可以通過內(nèi)部原型或使用廠家的SPICE文件自行設(shè)計(jì)仿真器件,Labcenter也在不斷地發(fā)布新的仿真器件,還可導(dǎo)入第三方發(fā)布的仿真器件;多樣的激勵(lì)源;包括直流、正弦、脈沖、分段線性脈沖、音頻(使用wav文件)、指數(shù)信號、單頻FM、數(shù)字時(shí)鐘和碼流,還支持文件形式的信號輸入。豐富的虛擬儀器,14種虛擬儀器,面板操作逼真,如示波器、邏輯分析儀、信號發(fā)生器、直流電壓/電流表、交流電壓/電流表、數(shù)字圖案發(fā)生器、頻率計(jì)/計(jì)數(shù)器、邏輯探頭、虛擬終端、SPI調(diào)試器、I2C調(diào)試器等、可編程信號源;生動的仿真顯示,用色點(diǎn)顯示引腳的數(shù)字電平,導(dǎo)線以不同顏色表示其對地電壓大小,結(jié)合動態(tài)器件(如電機(jī)、顯示器件、按鈕)的使用可以使仿真更加直觀、生動;高級圖形仿真功能,基于圖標(biāo)的分析可以精確分析電路的多項(xiàng)指標(biāo),包括工作點(diǎn)、瞬態(tài)特性、頻率特性、傳輸特性、噪聲、失真、傅立葉頻譜分析等,還可以進(jìn)行一致性分析。2.Proteus仿真軟件的使用(1)雙擊桌面上的Proteus8Professional圖標(biāo),啟動Proteus軟件,點(diǎn)擊點(diǎn)擊在Proteus主頁頂部的“新建工程”按鈕。(2)在“新建工程向?qū)А钡牡谝豁搶⒅付ㄟ@個(gè)工程的文件名和保存路徑。(3)我們需要繪制原理圖,在下一頁的頂部選項(xiàng)卡中,勾選“從選中的模版中創(chuàng)建原理圖”,然后選擇默認(rèn)模版。(4)我們對這個(gè)設(shè)計(jì)進(jìn)行仿真,所以在仿真固件頁面設(shè)置為創(chuàng)建固件項(xiàng)目,系列選8051系列,控制器選AT89C52,編譯器選Keilfor8051,不勾選創(chuàng)建快速啟動文件(如用C語言編程則可勾選創(chuàng)建快速啟動文件)。(5)點(diǎn)擊“下一步”到匯總頁后,再點(diǎn)擊“完成”按鈕,完成新建工程。(6)建立好項(xiàng)目后,軟件將打開兩個(gè)選項(xiàng)卡,一個(gè)原理圖設(shè)計(jì),另一個(gè)是源代碼設(shè)計(jì)。單擊原理圖選項(xiàng)卡可以將ISIS模塊至于頁面最前端。(7)屏幕顯示最大的區(qū)域稱為編輯窗口,它的作用類似于一個(gè)繪圖窗口,這將是您放置和連接元器件的區(qū)域。在屏幕左上方的那個(gè)較小的區(qū)域稱為預(yù)覽窗口。預(yù)覽窗口用來預(yù)覽當(dāng)前的設(shè)計(jì)圖,藍(lán)色邊框顯示的是當(dāng)前圖紙的邊框,而綠色邊框表示的是編輯窗口的大小。當(dāng)從對象選擇器中選擇一個(gè)新對象時(shí),預(yù)覽窗口則是用于預(yù)覽這個(gè)被選中的對象。在對象選擇器或在預(yù)覽窗口右鍵單擊鼠標(biāo),將出現(xiàn)一個(gè)彈出菜單,其中包括“自動隱藏”選項(xiàng)。選擇自動隱藏預(yù)覽窗口和對象選擇器,將使編輯窗口占有最大的可視面積,對繪制原理圖有很大的幫助。選擇了自動隱藏功能后,對象選擇器和預(yù)覽窗口將最小化為一個(gè)彈出框,當(dāng)鼠標(biāo)放在上面時(shí)或者選擇不同的對象模式時(shí),彈出框重新打開成對象選擇器和預(yù)覽窗口。最左邊為模式選擇窗口,可以選擇不同的繪圖模式。瀏覽編輯窗口有以下兩種方式:縮放和平移;移動鼠標(biāo)到需要縮放的地方,滾動鼠標(biāo)滾輪進(jìn)行縮放。按下鼠標(biāo)滾輪,出現(xiàn)光標(biāo),表示圖紙已經(jīng)處于提起狀態(tài),可以進(jìn)行平移。如果想要平移至相距比較遠(yuǎn)的地方,最快捷的方式是在預(yù)覽窗口點(diǎn)擊顯示該區(qū)域。(8)從庫中選取元件。按下對象選擇器左上方的“P”按鈕,你也可以通過快捷鍵來啟動元件庫瀏覽器對話框(默認(rèn)的快捷鍵是P)。通過輸入描述關(guān)鍵字來查找,然后再在結(jié)果列表中選擇需要的那個(gè)元件。(9)在原理圖中放置元件。選好器件后,接下來進(jìn)行的工作就是將器件放置到編輯窗口中,并把它們都連接起來。從對象選取器中選中RES元件,在編輯窗口中單擊鼠標(biāo)左鍵進(jìn)入放置模式,將出現(xiàn)RES元件的虛影。移動鼠標(biāo)到放置位置,在編輯窗口再次點(diǎn)擊左鍵,器件將放置到編輯窗口的對應(yīng)位置上。(10)連線。在ISIS中沒有“連線模式”,也就是說,連線可以在任何時(shí)候放置或編輯。這樣減少了鼠標(biāo)的移動,減少了模式的切換,提高了開發(fā)效率。連線過程中,光標(biāo)樣式會隨不同動作而變化。起始點(diǎn)是綠色鉛筆,過程是白色鉛筆,結(jié)束點(diǎn)是綠色鉛筆。將鼠標(biāo)放置在待連接器件引腳上時(shí),光標(biāo)會自動變成綠色。點(diǎn)擊鼠標(biāo)左鍵然后移動鼠標(biāo)到另一個(gè)待連接器件管腳處,導(dǎo)線將會跟隨移動,在移動的過程中光標(biāo)/畫線筆將變成白色,再次點(diǎn)擊鼠標(biāo)左鍵以完成畫線。(11)畫完原理圖后,就可以使用ProteusVSM和VSMStudioIDE對帶有微控制器的系統(tǒng)進(jìn)行交互式仿真了。點(diǎn)擊菜單欄中的源碼,選擇添加文件,選中test.asm文件,點(diǎn)擊打開。(12)從“系統(tǒng)”菜單啟動“編譯器配置”對話框。這個(gè)對話框列出了所有支持的編譯器,并指示是否被安裝和配置。如果找到Proteus支持的編譯器,Proteus將自動進(jìn)行配置并在Proteus中調(diào)用這些編譯器編譯源代碼。本例選擇Keilfor8051編譯器。(13)使用VSMStudio“構(gòu)建”菜單下的“構(gòu)建工程”命令(或構(gòu)建圖標(biāo))編譯固件。編譯器的輸出將顯示在IDE的底部面板中,編譯完成以后,你能得到一個(gè)編譯成功的信息。(14)只需要簡單的按下Proteus左下角“仿真控制面板”中的運(yùn)行按鈕就可以運(yùn)行仿真。(15)設(shè)置斷點(diǎn)。首先要按下Proteus左下角“仿真控制面板”中的暫停按鈕。放置斷點(diǎn)最容易的方法就是在希望放置斷點(diǎn)的代碼行進(jìn)行雙擊。斷點(diǎn)指示器(一個(gè)小紅點(diǎn))將會出現(xiàn)在源代碼窗口的代碼行左邊。如果你誤設(shè)了一個(gè)斷點(diǎn),可以在這行再次雙擊(或從代碼窗口中點(diǎn)擊右鍵,在彈出的菜單中)進(jìn)行刪除或關(guān)閉。如果我們現(xiàn)在點(diǎn)擊運(yùn)行按鈕運(yùn)行仿真,在運(yùn)行模式下會全速執(zhí)行代碼。到達(dá)斷點(diǎn)以后,我們可以通過源代碼窗口右上角或VSMStudio調(diào)試菜單中的常用命令單步執(zhí)行代碼。另外可以使用快捷鍵F10和F11分別進(jìn)行單步跳過調(diào)試和單步進(jìn)入函數(shù)內(nèi)部調(diào)試。1.2.3ISP下載器軟件1.安裝運(yùn)行WWISPSetup.exe,安裝ISP下載軟件。2.連接將USB下載器連接PC及目標(biāo)板(USB接口端連接到PC的USB接口,ISP端連接到MCU模塊ISP插槽)。在連接USB下載器之前,注意:MCU模塊或者說主板應(yīng)先接通電源。就是說主板先上電。3.驅(qū)動安裝連接USB到PC后,將提示“發(fā)現(xiàn)新硬件USBasp”,如下圖所示:系統(tǒng)會自動彈出找到新的硬件向?qū)?,如下圖所示:選擇“從列表或指定位置安裝(高級)(S)”選項(xiàng),并點(diǎn)擊“下一步”。選擇“在這些位置上搜索最佳驅(qū)動程序”和“在搜索中包括這個(gè)位置”,并瀏覽到WWISP的安裝目錄下,默認(rèn)的目錄是C:\ProgramFiles\WindwayTechnologies\WWISP,具體的設(shè)置如下圖所示:點(diǎn)擊“下一步”,安裝驅(qū)動。 安裝完成。4.查看硬件在硬件管理器里面將看到安裝好的硬件5、安裝完成WWISP安裝完成后,桌面出現(xiàn) 快捷方式,點(diǎn)擊進(jìn)入后系統(tǒng)會自動識別器件型號,也可以手動選擇對應(yīng)的單片機(jī)類型。6.使用方法點(diǎn)擊“打開文件(Flash)”按鈕找到HEX文件,然后點(diǎn)擊“寫Flash”按鈕,程序?qū)浀組CU當(dāng)中。對于其它的功能,也可以進(jìn)行操作。如:先選取“擦除芯片”、“編程Flash”,然后點(diǎn)擊“自動編程”,剛系統(tǒng)會自動依次進(jìn)行“擦除芯片”、“編程Flash”的操作。2、實(shí)驗(yàn)要求2.1實(shí)驗(yàn)素養(yǎng)和一般知識2.1.1實(shí)驗(yàn)初期準(zhǔn)備1、明確實(shí)驗(yàn)?zāi)康?、熟悉?shí)驗(yàn)內(nèi)容、掌握實(shí)驗(yàn)步驟、了解所用單片機(jī)實(shí)驗(yàn)開發(fā)系統(tǒng)及儀器設(shè)備的性能。2、做好實(shí)驗(yàn)前預(yù)習(xí)和必要的準(zhǔn)備(先編好程序),作到有的放矢。2.1.2實(shí)驗(yàn)室前準(zhǔn)備1、熟悉所用的單片機(jī)實(shí)驗(yàn)開發(fā)系統(tǒng)及儀器設(shè)備,了解其外觀、性能。2、接線要簡單、正確、明了,一個(gè)人接線,另一個(gè)人檢查,經(jīng)老師復(fù)查后允許后才可通電。3、通電瞬間,應(yīng)注意儀器是否正常工作,不要只看開關(guān),如有不正?,F(xiàn)象,比如冒煙、元器件發(fā)熱等,應(yīng)立即斷電找出原因。2.1.3實(shí)驗(yàn)過程1、正常請況下,按規(guī)定步驟進(jìn)行,讀取數(shù)據(jù),并及時(shí)紀(jì)錄。2、各種操作要有目的進(jìn)行,不可盲動。3、不允許玩計(jì)算機(jī)游戲和手機(jī),違反者取消實(shí)驗(yàn)資格!4、實(shí)驗(yàn)完后,經(jīng)老師察看或簽字,并把儀器、導(dǎo)線、工具清理、收拾完畢后,學(xué)生簽到方可離開實(shí)驗(yàn)室。2.2實(shí)驗(yàn)報(bào)告內(nèi)容和要求1、實(shí)驗(yàn)報(bào)告要用學(xué)校統(tǒng)一的紙。2.實(shí)驗(yàn)內(nèi)容應(yīng)包括,是實(shí)驗(yàn)?zāi)康?、設(shè)備、原理、步驟簡述、原始數(shù)據(jù)、結(jié)果和分析以及程序清單等。3.每個(gè)實(shí)驗(yàn)后的思考題必須完成。4.對實(shí)驗(yàn)中發(fā)現(xiàn)的問題應(yīng)加以討論,并提出自己的改進(jìn)意見和要求、希望等。3、實(shí)驗(yàn)項(xiàng)目實(shí)驗(yàn)一I/O端口輸入輸出實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)掌握P1口作為IO口時(shí)的使用方法。(2)掌握P3口、P1口簡單使用。(3)理解讀引腳和讀鎖存器的區(qū)別。2.實(shí)驗(yàn)要求(1)寫出自己編寫的程序,并寫出原理性注釋。(2)檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理由8051組成的單片機(jī)系統(tǒng)通常情況下,P0口分時(shí)復(fù)用作為地址、數(shù)據(jù)總線,P2口提供A15-A8即高8位地址,P3口用作第二功能,只有P1口通常用作I/0口。P1口是8位準(zhǔn)雙向口,它的每一位都可獨(dú)立地定義為輸入或輸出,因此既可作為8位的并行I/O口,也可作為8位的輸入輸出端。當(dāng)工作在輸入方式時(shí),對應(yīng)位的鎖存器必須先置1,才能正確地讀到引腳上的信號,否則,執(zhí)行讀引腳指令時(shí),若對應(yīng)位的鎖存器的值為0,讀的結(jié)果永遠(yuǎn)為0。每個(gè)I/0端口都有兩種讀入,即讀鎖存器和讀引腳,讀引腳指令一般都是以I/0端口為源操作數(shù)的指令,如MOVC,P1.3,而讀鎖存器指令一般為“讀-修改-寫”指令,如ANLP1.3,C指令,請同學(xué)們在實(shí)驗(yàn)中體會。5.實(shí)驗(yàn)內(nèi)容(1)利用單片機(jī),按鍵和發(fā)光二極管,構(gòu)成一個(gè)LED燈控制電路。(2)上電時(shí),點(diǎn)亮LED,按下K1時(shí),LED向左移一位,按下K2時(shí),LED向右移一位。6.接線方案(1)相關(guān)硬件電路8位獨(dú)立按鍵電路圖8位獨(dú)立LED電路圖(2)硬件連接表MCU-AT89S528位獨(dú)立按鍵8位獨(dú)立LEDP10K1P11K2P00~P07D1~D87.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)編寫程序?qū)崿F(xiàn)當(dāng)P1.3為低電平時(shí),發(fā)光管亮;P1.3為高電平時(shí),發(fā)光管滅。(2)修改程序在執(zhí)行讀P1.3之前,先執(zhí)行CLRP1.3,觀察結(jié)果是否正確,分析在第二種情況下程序?yàn)槭裁床荒苷_執(zhí)行,理解讀引腳和讀鎖存器區(qū)別。(3)如何增加LED燈的顯示花樣,豐富實(shí)驗(yàn)的效果。(4)如何實(shí)現(xiàn)LED的灰度顯示。實(shí)驗(yàn)二八段碼管顯示實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解數(shù)碼管動態(tài)顯示的原理。(2)掌握查表程序的編寫。2.實(shí)驗(yàn)要求(1)寫出自己編寫的程序,并寫出原理性注釋。(2)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。(3)利用實(shí)驗(yàn)儀器提供的顯示電路,動態(tài)顯示一行數(shù)據(jù)。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理(1)LED數(shù)碼顯示原理:八段LED顯示器內(nèi)部由八個(gè)條形發(fā)光二極管和一個(gè)小圓點(diǎn)發(fā)光二極管組成,根據(jù)各管的極管的接線形式,可分成共陰極型和共陽極型。LED數(shù)碼管的h~a八個(gè)發(fā)光二極管因加正電壓而發(fā)亮,因加零電壓而不以發(fā)亮,不同亮暗的組合就能形成不同的字形,這種組合稱之為字形碼。(2)由于顯示的數(shù)字0-9的字形碼沒有規(guī)律可循,只能采用查表的方式來完成我們所需的要求了。這樣我們按著數(shù)字0-9的順序,把每個(gè)數(shù)字的筆段代碼按順序排好,建立的表格如下所示:TABLEDB0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h5.實(shí)驗(yàn)內(nèi)容利用IO口實(shí)現(xiàn)動態(tài)掃描數(shù)碼管,數(shù)碼管顯示“12345678“。6.接線方案(1)相關(guān)硬件電路8位8段共陽數(shù)碼管電路圖(2)硬件連接表MCU-AT89S528位共陽數(shù)碼管P00~P07SA~SHP20~P27C0~C77.程序流程圖主程序流程圖定時(shí)器0中斷服務(wù)程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)編寫代碼實(shí)現(xiàn)數(shù)碼管環(huán)形流動,流動的方向、速度有規(guī)律有節(jié)奏的變化。(2)編寫代碼實(shí)現(xiàn)數(shù)字鐘顯示,要求顯示時(shí)、分、秒、星期且之間要用點(diǎn)隔開。實(shí)驗(yàn)三鍵盤掃描顯示實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)理解矩陣鍵盤掃描的原理。(2)掌握矩陣鍵盤與單片機(jī)接口的編程方法。2.實(shí)驗(yàn)要求讀取矩陣鍵盤鍵值,對按鍵值進(jìn)行判斷和處理,通過1位數(shù)碼管顯示。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理鍵盤掃描原理如下:首先掃描鍵盤,判斷是否有鍵按下,再確定是哪一個(gè)鍵,計(jì)算鍵值,輸出顯示。5.實(shí)驗(yàn)內(nèi)容在上一個(gè)實(shí)驗(yàn)的基礎(chǔ)上,利用實(shí)驗(yàn)儀器提供的鍵盤掃描電路和顯示電路,做一個(gè)掃描鍵盤和數(shù)碼顯示實(shí)驗(yàn),把按鍵輸入的鍵碼0—F在六位數(shù)碼管上顯示出來。實(shí)驗(yàn)程序可分成三個(gè)模塊。輸入模塊:掃描鍵盤、讀取一次鍵盤并將按鍵值存入鍵值緩沖單元。②顯示模塊:將顯示單元的內(nèi)容在顯示器上動態(tài)顯示。③主程序:調(diào)用鍵輸入模塊和顯示模塊。6.接線方案(1)硬件連接表MCU-AT89S528位共陽數(shù)碼管4*4矩陣鍵盤P00~P07SA~SGP10~P13R1~R4P14~P17C1~C4P20C0(2)相關(guān)硬件電路4*4矩陣鍵盤電路圖8位8段共陽數(shù)碼管電路圖7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)本例程采用的是查詢的方式,試使用中斷方式進(jìn)行讀鍵值,編寫程序測試。2、熟練掌握矩陣鍵盤后,可編寫程序,實(shí)現(xiàn)功能鍵。類似PC機(jī)的ALT+其他鍵,可編程程序測試。實(shí)驗(yàn)四D/A0832數(shù)模轉(zhuǎn)換實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解D/A轉(zhuǎn)換的基本原理以及單片機(jī)的接口方法。(2)了解D/A轉(zhuǎn)換芯片DA0832的性能及編程方法。2.實(shí)驗(yàn)要求實(shí)現(xiàn)利用0832輸出一個(gè)從0V開始逐漸升至5V再降至0V的三角波電壓,數(shù)碼管顯示數(shù)字量值(或電壓值),并使用電壓表查看電壓的變化,通過電壓表測量DAC轉(zhuǎn)換出來的電壓值。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理D/A轉(zhuǎn)換器的功能主要是將輸入的數(shù)字量轉(zhuǎn)換成模擬量輸出,在語音合成等方面得到了廣泛的應(yīng)用。本實(shí)驗(yàn)中采用的轉(zhuǎn)換器為DAC0832,該芯片為電流輸出型8位D/A轉(zhuǎn)換器,輸入設(shè)有兩級緩沖鎖存器,因此可同時(shí)輸出多路模擬量。5.實(shí)驗(yàn)內(nèi)容編寫程序、編譯程序,用單步、斷點(diǎn)、連續(xù)方式調(diào)試程序,排除軟件錯(cuò)誤。運(yùn)行程序,用萬用表測量D/A輸出孔,觀察電壓值的變化情況。6.接線方案(1)相關(guān)硬件電路DAC0832數(shù)模轉(zhuǎn)換電路圖(2)硬件連接表MCU-AT89S52數(shù)模轉(zhuǎn)換8位共陽數(shù)碼管P26WRP27CSP00~P07DB0~DB7P10~P17SA~SHP30~P33C0~C37.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題修改程序,使用DAC0832編寫程序產(chǎn)生一個(gè)鋸齒波、三角波、方波等,三種波形輪流顯示,用示波器觀察波形。實(shí)驗(yàn)五雙機(jī)通訊實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康模?)掌握單片機(jī)串行口工作方式的程序設(shè)計(jì)及簡單三線式通訊的方法。(2)了解實(shí)現(xiàn)串行通訊的硬環(huán)境、數(shù)據(jù)格式的協(xié)議、數(shù)據(jù)交換的協(xié)議。(3)學(xué)習(xí)串行口通訊的中斷方式程序的編寫方法。2.實(shí)驗(yàn)要求(1)利用兩個(gè)實(shí)驗(yàn)箱中的十六位矩陣鍵盤、八位共陽極數(shù)碼管,八個(gè)獨(dú)立按鍵輸入和串口組成雙機(jī)通訊的基本單元,實(shí)驗(yàn)雙機(jī)的數(shù)據(jù)交互。(2)寫出自己編寫的程序,并寫出原理性注釋。(3)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱2臺(2)計(jì)算機(jī)2臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理本次設(shè)計(jì),對于兩片AT89S52,采用RS232進(jìn)行雙機(jī)通信。發(fā)送方的數(shù)據(jù)由串行口TXD段輸出,經(jīng)過電平轉(zhuǎn)換芯片MAX232將TTL電平轉(zhuǎn)換為RS232電平輸出,經(jīng)過傳輸線將信號傳送到接收端。接收方也使用MAX232芯片進(jìn)行電平轉(zhuǎn)換后,信號到達(dá)接收方串行口的接收端。接受方接收后,在數(shù)碼管上顯示接收的信息。5.實(shí)驗(yàn)內(nèi)容利用單片機(jī)串行口,實(shí)現(xiàn)兩個(gè)實(shí)驗(yàn)臺之間的串行通訊。其中一個(gè)實(shí)驗(yàn)臺作為發(fā)送方,另一側(cè)為接收方。發(fā)送方讀入按鍵值,并發(fā)送給接收方,接收方收到數(shù)據(jù)后在數(shù)碼管上顯示。6.接線方案(1)硬件連接表MCU1-AT89S524*4矩陣鍵盤8位共陽數(shù)碼管8位獨(dú)立按鍵MCU2-AT89S52P10~P13R1~R4P14~P17C1~C4P32INTP00~P07SA~SHP20~P27C0~C7P33K1P30(RXD)P31(TXD)P31(TXD)P30(RXD)(2)相關(guān)硬件電路4*4矩陣鍵盤圖8位共陽數(shù)碼管圖8位獨(dú)立按鍵圖7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題自己編程實(shí)現(xiàn)全雙工雙機(jī)通信實(shí)驗(yàn)。實(shí)驗(yàn)六溫度測量實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解1Wire協(xié)議器件DS18B20的工作原理;(2)掌握單片機(jī)IO實(shí)現(xiàn)1線協(xié)議的方法;2.實(shí)驗(yàn)要求利用DS18B20、數(shù)碼管,實(shí)現(xiàn)溫度的測量和顯示。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根4.實(shí)驗(yàn)原理(1)本實(shí)驗(yàn)用到的知識點(diǎn)是:DS18B20的工作原理和數(shù)碼管顯示原理。DS18B20的體積小、適用電壓更寬,是世界上第一片支持“一線總線”接口的溫度傳感器。現(xiàn)場溫度直接以“一線總線”的數(shù)字方式傳輸,大大提高了系統(tǒng)的抗干擾性。適合于惡劣環(huán)境的現(xiàn)場溫度測量,如:環(huán)境控制、設(shè)備或過程控制、測溫類消費(fèi)電子產(chǎn)品等。詳細(xì)內(nèi)容參考DS18B20數(shù)據(jù)手冊。數(shù)碼管顯示原理可以參考以前的實(shí)驗(yàn)。(2)實(shí)驗(yàn)效果說明:本實(shí)驗(yàn)在Proteus工作平臺上進(jìn)行仿真時(shí),用手動調(diào)整DS18B20的溫度值。同時(shí)LED會顯示相應(yīng)的數(shù)值。DS18B20的測量范圍是-55~128。本實(shí)驗(yàn)只顯示0~99。本實(shí)驗(yàn)關(guān)鍵是理解DS18B20的工作原理。LED顯示可以結(jié)合前面的實(shí)驗(yàn)加以理解即可。5.實(shí)驗(yàn)內(nèi)容(1)利用單片機(jī),DS18B20和數(shù)碼管,構(gòu)成一個(gè)溫度測量電路。(2)上電后,用手觸摸18B20的表面,觀察數(shù)碼管顯示數(shù)據(jù)的變化。6.接線方案(1)相關(guān)硬件電路8位共陽數(shù)碼管電路圖溫度傳感器DS18B20電路圖(2)硬件連接表MCU-AT89S528位共陽數(shù)碼管傳感器區(qū)P00~P07SA~SHP10~P11C0~C1P37DQ7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)用DS18B20溫度傳感器測量不同溫度的物體溫度值并與用其它溫度計(jì)測的結(jié)果做比較(2)使用DS18B20溫度傳感器做一個(gè)溫度報(bào)警系統(tǒng)。實(shí)驗(yàn)七A/D0809模數(shù)轉(zhuǎn)換實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解A/D轉(zhuǎn)換與單片機(jī)的接口方法;(2)了解ADC0809轉(zhuǎn)換性能及編程方法;(3)通過實(shí)驗(yàn)了解單片機(jī)如何進(jìn)行數(shù)據(jù)采集。2.實(shí)驗(yàn)要求(1)利用ADC0809做A/D轉(zhuǎn)換器,由實(shí)驗(yàn)板上的電位器提供模擬量輸入,編寫程序?qū)⒛M量轉(zhuǎn)換成二進(jìn)制數(shù)字量,用P1口輸出到發(fā)光二極管顯示,或通過八段碼數(shù)碼管將數(shù)值顯示出來。(2)寫出自己編寫的程序,并寫出原理性注釋。(3)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理A/D轉(zhuǎn)換器大致有三類:一是雙積分A/D轉(zhuǎn)換器,優(yōu)點(diǎn)是精度高,抗干擾性好,價(jià)格便宜,但速度慢;二是逐次逼近A/D轉(zhuǎn)換器,精度、速度、價(jià)格適中;三是并行A/D轉(zhuǎn)換器,速度快,價(jià)格也昂貴。A/D轉(zhuǎn)換器的功能主要是將輸入的模擬信號轉(zhuǎn)換成數(shù)字信號,如電壓、電流、溫度測量等都屬于這種轉(zhuǎn)換。本實(shí)驗(yàn)中采用的轉(zhuǎn)換器為ADC0809,它是一個(gè)8位逐次逼近型A/D轉(zhuǎn)換器,可以對8個(gè)模擬量進(jìn)行轉(zhuǎn)換,轉(zhuǎn)換時(shí)間為100μS。其工作過程如下:首先由地址鎖存信號ALE的上升沿將引腳ADDA、ADDB和ADDC上的信號鎖存到地址寄存器內(nèi),用以選擇模擬量輸入通道;START信號的下降沿啟動A/D轉(zhuǎn)換器開始工作;當(dāng)轉(zhuǎn)換結(jié)束時(shí),AD0809使EOC引腳由低電平變成高電平,程序可以通過查詢的方式讀取轉(zhuǎn)換結(jié)果,也可以通過中斷方式讀取結(jié)果。CLOCK為轉(zhuǎn)換時(shí)鐘輸入端,頻率為100KHz-1.2MHz,推薦值為640KHz。5.實(shí)驗(yàn)內(nèi)容用AT89S52的MCU驅(qū)動ADC0809進(jìn)行模數(shù)轉(zhuǎn)換,將得到的信號再次轉(zhuǎn)換為模擬信號顯示出來,八段數(shù)碼管顯示AD0809通道0的電壓值。6.接線方案(1)硬件連接表MCU-AT89S52模數(shù)轉(zhuǎn)換8位共陽數(shù)碼管P37RDP36WRP27CSALECLKP30EOCP20C0P21C1P22C2P23C3P00~P07DB0~DB7P10~P17SA~SHIN0接100K電位器GNDA、B、C(2)相關(guān)硬件電路ADC0809模數(shù)轉(zhuǎn)換電路圖8位共陽數(shù)碼管電路圖7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題編程控制八通道輪流采用,經(jīng)過轉(zhuǎn)換后顯示。實(shí)驗(yàn)八DS1302時(shí)鐘實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)掌熟悉單片機(jī)小系統(tǒng)綜合設(shè)計(jì)方法;(2)掌握單片機(jī)擴(kuò)展實(shí)時(shí)時(shí)鐘芯片DS1302的工作原理;(3)學(xué)會使用LCD1602液晶顯示屏。2.實(shí)驗(yàn)要求(1)利用DS1302和LCD,實(shí)現(xiàn)時(shí)鐘的計(jì)算和顯示;(2)可以設(shè)計(jì)DS1302初始時(shí)間值,LCD將DS1302計(jì)算出來的年、月、日、時(shí)、分、秒以兩行的方式實(shí)時(shí)地顯示在LCD上。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理DS1302是美國DALLAS公司推出的一種高性能、低功耗、帶RAM的實(shí)時(shí)時(shí)鐘電路,它可以對年、月、日、周日、時(shí)、分、秒進(jìn)行計(jì)時(shí),具有閏年補(bǔ)償功能,工作電壓為2.5V~5.5V。采用三線接口與CPU進(jìn)行同步通信,并可采用突發(fā)方式一次傳送多個(gè)字節(jié)的時(shí)鐘信號或RAM數(shù)據(jù)。DS1302內(nèi)部有一個(gè)31×8的用于臨時(shí)性存放數(shù)據(jù)的RAM寄存器。增加了主電源/后備電源雙電源引腳,同時(shí)提供了對后備電源進(jìn)行涓細(xì)電流充電的能力。詳細(xì)說明參考DS1302數(shù)據(jù)手冊。本實(shí)驗(yàn)采用的液晶顯示屏內(nèi)置控制器為44780,可以顯示2行共32個(gè)ASCII字符。有關(guān)圖形液晶顯示屏的命令和詳細(xì)原理,可參考有關(guān)的液晶模塊資料。5.實(shí)驗(yàn)內(nèi)容編程實(shí)現(xiàn)下列功能:用AT89S52的MCU驅(qū)動DS1302時(shí)鐘芯片讀取時(shí)間、日期,星期并通過LCD1602顯示,程序啟動時(shí),初始化顯示為11年07月31日23時(shí)59分50秒星期日,然后程序開始計(jì)時(shí)6.接線方案(1)相關(guān)硬件電路DS1302時(shí)鐘電路電路圖LCD1602字符屏電路圖(2)硬件連接表MCU-AT89S52時(shí)鐘電路LCD1602字符屏P10RSTP11SCLKP12IOP20RSP21RWP22ENP00~P07DB0~DB77.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)電路中32.768KHz的晶振的作用。(2)外部時(shí)鐘芯片和定時(shí)器產(chǎn)生時(shí)鐘的優(yōu)劣比較。實(shí)驗(yàn)九直流電機(jī)控制實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解單片機(jī)控制直流電機(jī)的基本原理。(2)掌握控制直流電機(jī)轉(zhuǎn)動的編程方法。(3)了解脈寬調(diào)制(PWM)的原理。2.實(shí)驗(yàn)要求(1)采用單片機(jī)的4個(gè)IO口來控制直流電機(jī),編寫程序,其中3個(gè)IO口驅(qū)動電機(jī),另一個(gè)IO口控制電機(jī)的轉(zhuǎn)動方向。(2)了解直流電機(jī)的控制方法。(3)了解光電對管測速的原理。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理轉(zhuǎn)速是工程上一個(gè)常用參數(shù)。旋轉(zhuǎn)體的轉(zhuǎn)速常以每秒鐘或每分鐘轉(zhuǎn)數(shù)來表示,因此其單位為轉(zhuǎn)/秒、轉(zhuǎn)/分,也有時(shí)用角速度表示瞬時(shí)轉(zhuǎn)速,這時(shí)的單位相應(yīng)為孤度/秒。轉(zhuǎn)速的測量方法很多,由于轉(zhuǎn)速是以單位時(shí)間內(nèi)電機(jī)轉(zhuǎn)數(shù)來衡量,在變換過程中多數(shù)是有規(guī)律的重復(fù)運(yùn)動。光電對管和碼盤由于其價(jià)格低,無觸點(diǎn),動態(tài)特性好,使用壽命長等特點(diǎn),故在測量轉(zhuǎn)動物體旋轉(zhuǎn)速度領(lǐng)域得到了廣泛應(yīng)用。直流電機(jī)的轉(zhuǎn)向由電機(jī)兩端的電壓極性決定。其轉(zhuǎn)速由加在電機(jī)上的電壓有效值來決定,PWM占空比越大,加在電機(jī)上的電壓有效值越大,電機(jī)轉(zhuǎn)速越高。由于單片機(jī)輸出的PWM驅(qū)動能力較小,不能直接驅(qū)動直流電機(jī),因此,本實(shí)驗(yàn)采用了L293作為直流電機(jī)的驅(qū)動電路。5.實(shí)驗(yàn)內(nèi)容(1)設(shè)計(jì)并調(diào)試一個(gè)程序其功能為測量電機(jī)的轉(zhuǎn)速,并在實(shí)驗(yàn)平臺數(shù)碼管上顯示出來。(2)通過按鍵來改變電機(jī)的轉(zhuǎn)向。6.接線方案(1)相關(guān)硬件電路直流電機(jī)模塊電路圖光電測速電路圖8位共陽數(shù)碼管電路圖8路獨(dú)立開關(guān)電路圖(2)硬件連接表MCU-AT89S52電機(jī)模塊8位共陽數(shù)碼管8路獨(dú)立開關(guān)P00~P07SA~SHP10~P12C0~C2P20SW1P21IN1P22IN2P34PLU+5VEN17.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)編寫程序,實(shí)現(xiàn)對電機(jī)的調(diào)速控制。(2)使用PID算法,提高電機(jī)的控制精度。實(shí)驗(yàn)十LCD12864顯示實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解圖型液晶顯示屏的控制原理和方法。(2)了解漢字和圖形的顯示原理。(3)掌握LCD12864編寫程序的方法。2.實(shí)驗(yàn)要求(1)編程在屏上顯示漢字。(2)閱讀手冊了解屏的結(jié)構(gòu)及控制器。(3)掌握其工作原理,理解工作時(shí)序。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理參考OCM12864數(shù)據(jù)手冊,驅(qū)動芯片是KS0108,不帶字庫。5.實(shí)驗(yàn)內(nèi)容編程在屏上全屏顯示漢字。6.接線方案(1)相關(guān)硬件電路LCD12864液晶顯示電路圖(2)硬件連接表MCU-AT89S52LCD12864電陣屏P20RSTP26CS2P25CS1P22ENP23RWP24RSP00~P07DB0~DB77.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題編寫程序?qū)崿F(xiàn)圖形顯示。實(shí)驗(yàn)十一紅外遙控解碼實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解紅外遙控電路的原理及編碼方法。(2)了解遠(yuǎn)程控制的原理及方法,學(xué)習(xí)如何編寫紅外發(fā)射和接收程序。2.實(shí)驗(yàn)要求(1)利用實(shí)驗(yàn)板提供的紅外發(fā)射、接收電路,編寫接收和發(fā)射紅外信號程序,實(shí)現(xiàn)近距離無線通信。(2)寫出自己編寫的程序,并寫出原理性注釋。(3)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理(1)主要知識點(diǎn)概述NEC標(biāo)準(zhǔn):遙控載波的頻率為38KHz(占空比為1:3);當(dāng)某個(gè)按鍵按下時(shí),系統(tǒng)首先發(fā)射一個(gè)完整的全碼,如果鍵按下超過108ms仍未松開,接下來發(fā)射的代碼(連發(fā)代碼)將僅由起始碼(9ms)和結(jié)束碼(2.5ms)組成。一個(gè)完整的全碼=引導(dǎo)碼+用戶碼+用戶碼+數(shù)據(jù)碼+數(shù)據(jù)反碼。其中,引導(dǎo)碼高電平4.5ms,低電平4.5ms;系統(tǒng)碼8位,數(shù)據(jù)碼8位,共32位;其中前16位為用戶識別碼,能區(qū)別不同的紅外遙控設(shè)備,防止不同機(jī)種遙控碼互相干擾。后16位為8位的操作碼和8位的操作反碼,用于核對數(shù)據(jù)是否接收準(zhǔn)確。收端根據(jù)數(shù)據(jù)碼做出應(yīng)該執(zhí)行什么動作的判斷。連發(fā)代碼是在持續(xù)按鍵時(shí)發(fā)送的碼。它告知接收端,某鍵是在被連續(xù)地按著。(2)NEC標(biāo)準(zhǔn)下的發(fā)射碼發(fā)射數(shù)據(jù)時(shí)0用“0.56ms高電平+0.565ms低電平=1.125ms”表示,數(shù)據(jù)1用“高電0.56ms+低電平1.69ms=2.25ms”表示即發(fā)射碼“0”表示發(fā)射38khz的紅外線0.56ms,停止發(fā)射0.565ms,發(fā)射碼“1”表示發(fā)射38khz的紅外線0.56ms,停止發(fā)射1.69ms需要注意的是:當(dāng)一體化接收頭收到38kHz紅外信號時(shí),輸出端輸出低電平,否則為高電平。所以一體化所以一體化接收頭輸了的波形是與發(fā)射波形是反向的。5.實(shí)驗(yàn)內(nèi)容利用實(shí)驗(yàn)板提供的紅外發(fā)射、接收電路,編寫接收和發(fā)射紅外信號程序,實(shí)現(xiàn)近距離無線通信。6.接線方案(1)相關(guān)硬件電路LCD1602字符屏電路圖傳感器區(qū)電路圖(2)硬件連接表MCU-AT89S52LCD1602字符屏傳感器區(qū)P34紅外接收P25RSP26RWP27ENP00~P07DB0~DB77.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題編寫紅外發(fā)射程序,通過按鍵來發(fā)送編碼的程序設(shè)計(jì)。實(shí)驗(yàn)十二16X16點(diǎn)陣漢字顯示實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)了解陣列LED屏掃描顯示的原理。(2)掌握漢字、圖形取字模軟件的使用及編寫程序驅(qū)動點(diǎn)陣顯示。2.實(shí)驗(yàn)要求利用51單片機(jī)及74HC595、74HC138、16x16LED屏,編寫程序?qū)崿F(xiàn)漢字的顯示。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理16X16點(diǎn)陣共需要256個(gè)發(fā)光二極管組成,且每個(gè)發(fā)光二極管是放置在行線和列線的交叉點(diǎn)上,當(dāng)對應(yīng)的某一列置0電平,某一行置1電平時(shí),該點(diǎn)亮。5.實(shí)驗(yàn)內(nèi)容(1)編寫程序?qū)崿F(xiàn)漢字的顯示。(2)顯示“鄭州輕工業(yè)學(xué)院電氣信息工程學(xué)院”。6.接線方案(1)硬件連接表MCU-AT89S5216*16點(diǎn)陣屏模塊P10SHCP11STCP12DSP20A1P21B1P22C1P23EN1P24A2P25B2P26C2P27EN2(2)相關(guān)硬件電路16*16點(diǎn)陣驅(qū)動電路圖7.程序流程圖主程序流程圖T0中斷服務(wù)流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題編寫程序?qū)崿F(xiàn)文字的左移入或者是右移入。實(shí)驗(yàn)十三光耦隔離繼電器控制實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)學(xué)習(xí)I/O端口的使用方法。(2)掌握繼電器控制的基本方法。(3)了解用弱電控制強(qiáng)電的工作原理。(4)學(xué)習(xí)光耦的芯片手冊,學(xué)習(xí)光耦的特性及適用場合,驅(qū)動光耦的條件。2.實(shí)驗(yàn)要求(1)用單片機(jī)的端口,輸出電平控制繼電器的吸合和斷開,實(shí)現(xiàn)對外部裝置的控制。(2)掌握三極管起電流放大的作用及弱電控制強(qiáng)電的常用方法。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理現(xiàn)代自動控制設(shè)備中,都存在一個(gè)電子電路與電氣電路的互相連接問題,一方面要使電子電路的控制信號能夠控制電氣電路的執(zhí)行元件(電動機(jī)、電磁鐵、電燈等),另一方面又要為電子線路的電氣電路提供良好的電氣隔離,以保護(hù)電子電路和人身的安全。繼電器便能完成這一橋梁作用。本實(shí)驗(yàn)采用的繼電器其控制電壓是5V。本電路的控制端為高電平時(shí),繼電器工作常開觸點(diǎn)吸合,連接觸點(diǎn)的LED燈被點(diǎn)亮。當(dāng)控制端為低電平時(shí),繼電器不工作。執(zhí)行時(shí),對應(yīng)的LED將隨繼電器的開關(guān)而亮滅。本實(shí)驗(yàn)通過按鍵進(jìn)行開關(guān)控制,按鍵動作一次,繼電器狀態(tài)轉(zhuǎn)換一次,LED也隨繼電器的開關(guān)而亮滅。5.實(shí)驗(yàn)內(nèi)容(1)利用單片機(jī)驅(qū)動光耦來隔離驅(qū)動繼電器。(2)程序啟動時(shí),繼電器間隔吸合和斷開。6.接線方案(1)相關(guān)硬件電路繼電器控制電路圖光耦隔離電路圖(2)硬件連接表MCU-AT89S52光耦隔離區(qū)繼電器控制區(qū)P00IN1OUT1RL17.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)電路中二極管D1的作用。(2)單片機(jī)的IO口能否直接驅(qū)動繼電器。實(shí)驗(yàn)十四ISD1760語音錄放實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康?1)掌握SPI總線的使用方法。(2)掌握ISD1700語音錄放模塊實(shí)現(xiàn)語音的錄音、播放、快進(jìn)、停止和擦除等功能的方法。2.實(shí)驗(yàn)要求(1)寫出自己編寫的程序,并寫出原理性注釋。(2)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理ISD(Winbond)推出一系列多種類型的語音錄放芯片,應(yīng)用十分廣泛。ISD1700系列是一款新的語音錄放芯片,完全替代已經(jīng)停產(chǎn)的ISD1400系列和ISD2500系列。該系列芯片有不同的錄音時(shí)間,錄音時(shí)間從30秒到240秒錄音時(shí)間不同長短共10種,及增加了一些很有特色的功能如:音量調(diào)節(jié)、新信息提示、操作音效提示等等,同時(shí)音質(zhì)也有所提高,采樣頻率最高可以選擇為12KHz。5.實(shí)驗(yàn)內(nèi)容用單片機(jī)的4個(gè)IO口模擬SPI串行總線接口,控制語音芯片的指令實(shí)現(xiàn)用單片機(jī)控制語音模塊實(shí)現(xiàn)擦除、錄音、播放、快進(jìn)、停止功能。6.接線方案(1)相關(guān)硬件電路參考PDF文件(2)硬件連接表MCU-AT89S52ISD1760語音模塊8位獨(dú)立按鍵8位獨(dú)立LEDP00SSP01SCLKP02MOSIP03MISOP06D1P07D2P30K1P31K2P32K3P33K4P34K57.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題編寫程序?qū)崿F(xiàn)分段錄音、播放、擦除、快進(jìn)。實(shí)驗(yàn)十五TLC2543模數(shù)轉(zhuǎn)換實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康恼莆沾心?shù)轉(zhuǎn)換器的使用方法。2.實(shí)驗(yàn)要求(1)寫出自己編寫的程序,并寫出原理性注釋。(2)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理TLC2543是TI公司的12位串行模數(shù)轉(zhuǎn)換器,使用開關(guān)電容逐次逼近技術(shù)完成A/D轉(zhuǎn)換過程。由于是串行輸入結(jié)構(gòu),能夠節(jié)省單片機(jī)I/O資源;且價(jià)格適中,分辨率較高,因此在儀器儀表中有較為廣泛的應(yīng)用。5.實(shí)驗(yàn)內(nèi)容TLC2543參考電壓為+5V,采用0-5V模擬電壓輸入通道0,經(jīng)過TLC2543模數(shù)轉(zhuǎn)換后通過SPI串行發(fā)送給單片機(jī),單片機(jī)接收到來自TLC2543轉(zhuǎn)換的數(shù)據(jù)后,經(jīng)過處理把采樣到的模擬電壓值用四位共陽極數(shù)碼管實(shí)時(shí)顯示出來。6.接線方案(1)相關(guān)硬件電路參考PDF文件(2)硬件連接表MCU-AT89S52數(shù)模模數(shù)轉(zhuǎn)換模塊8位共陽數(shù)碼管電位器P00~P07SA~SHP20~P23C7~C4P30EOCP31CLKP32CSP33DINP34DOUTAIN0100K電位器7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題試用其它模擬輸入通道實(shí)現(xiàn)其同樣的功能。實(shí)驗(yàn)十六TLC5615數(shù)模轉(zhuǎn)換實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康恼莆沾袛?shù)模轉(zhuǎn)換器的使用方法。2.實(shí)驗(yàn)要求(1)寫出自己編寫的程序,并寫出原理性注釋。(2)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理TLC5615是具有串行接口的數(shù)模轉(zhuǎn)換器,其輸出為電壓型,最大輸出電壓是基準(zhǔn)電壓值的兩倍。帶有上電復(fù)位功能,即把DAC寄存器復(fù)位至全零。5.實(shí)驗(yàn)內(nèi)容數(shù)字信號0-1023逐個(gè)串行發(fā)送給數(shù)模轉(zhuǎn)換器TLC5615,轉(zhuǎn)換器接收到單片機(jī)送來的數(shù)字信號經(jīng)過DA轉(zhuǎn)換后輸出端輸出的模擬電壓值逐漸增大,用萬用表可以在輸出端觀察到電壓值逐漸增大。注意,參考電壓2V,輸出的電壓范圍是參考電壓的2倍。6.接線方案(1)相關(guān)硬件電路參考PDF文件(2)硬件連接表MCU-AT89S52數(shù)模模數(shù)轉(zhuǎn)換模塊萬用表P10DINP11CSP12CLKAOUT紅表筆(黑表筆接參考電壓負(fù)極)7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題選擇不同的參考電壓,編寫程序產(chǎn)生一個(gè)鋸齒波、三角波。實(shí)驗(yàn)十七超聲波測距實(shí)驗(yàn)1.實(shí)驗(yàn)?zāi)康恼莆粘暡y距模塊的使用方法。2.實(shí)驗(yàn)要求(1)寫出自己編寫的程序,并寫出原理性注釋。(2)將檢查程序運(yùn)行的結(jié)果,分析一下是否正確。3.實(shí)驗(yàn)設(shè)備和器材(1)實(shí)驗(yàn)箱1臺(2)計(jì)算機(jī)1臺(3)連線若干根(4)萬用表1個(gè)4.實(shí)驗(yàn)原理由于超聲波指向性強(qiáng),能量消耗緩慢,在介質(zhì)中傳播的距離較遠(yuǎn),因而超聲波經(jīng)常用于距離的測量,如測距儀和物位測量儀等都可以通過超聲波來實(shí)現(xiàn)。利用超聲波檢測往往比較迅速、方便、計(jì)算簡單、易于做到實(shí)時(shí)控制,并且在測量精度方面能達(dá)到工業(yè)實(shí)用的要求,因此在移動機(jī)器人研制上也得到了廣泛的應(yīng)用。壓電式超聲波發(fā)生器原理。本實(shí)驗(yàn)采用的傳感器是壓電式超聲波發(fā)生器,實(shí)際上是利用壓電晶體的諧振來工作的。它有兩個(gè)壓電晶片和一個(gè)共振板。當(dāng)它的兩極外加脈沖信號,其頻率等于壓電晶片的固有振蕩頻率時(shí),壓電晶片將會發(fā)生共振,并帶動共振板振動,便產(chǎn)生超聲波。反之,如果兩電極間未外加電壓,當(dāng)共振板接收到超聲波時(shí),將壓迫壓電晶片作振動,將機(jī)械能轉(zhuǎn)換為電信號,這時(shí)它就成為超聲波接收器了。超聲波測距原理:超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射時(shí)刻的同時(shí)開始計(jì)時(shí),超聲波在空氣中傳播,途中碰到障礙物就立即返回來,超聲波接收器收到反射波就立即停止計(jì)時(shí)。超聲波在空氣中的傳播速度為340m/s,根據(jù)計(jì)時(shí)器記錄的時(shí)間t,就可以計(jì)算出發(fā)射點(diǎn)距障礙物的距離(s),即:s=340t/2。這就是所謂的時(shí)間差測距法。5.實(shí)驗(yàn)內(nèi)容本實(shí)驗(yàn)用NE555電路產(chǎn)生40KHZ的脈沖信號用來發(fā)送超聲波,此信號出廠已調(diào)節(jié),請使用時(shí)再測量和微調(diào)。接收頭接收到信號后,進(jìn)行了放大,濾波,整形等處理環(huán)節(jié),可輸出下降沿或者上升沿等接收信號。單片機(jī)使用1個(gè)IO口控制發(fā)射,外部中斷腳接收,定時(shí)器0來計(jì)時(shí)。從而可得到從發(fā)射到接收的時(shí)間來計(jì)算障礙物的距離。附帶的還有溫度的采集和顯示,蜂鳴器提示音,但未對超聲波測距做溫度補(bǔ)償。6.接線方案(1)相關(guān)硬件電路參考PDF文件。(2)硬件連接表MCU-AT89S52超聲波測距模塊LCD1602字符屏蜂鳴器DS18B20電路P00~P07DB0~DB7P20RSP21RWP22ENP10發(fā)送使能HP32反向輸出P11BUZP12數(shù)字輸出7.程序流程圖主程序流程圖8.實(shí)驗(yàn)步驟1、按照“KeilC51單片機(jī)軟件開發(fā)系統(tǒng)的使用”編寫和調(diào)試實(shí)驗(yàn)源程序。2、按照“Proteus仿真軟件的使用”設(shè)計(jì)原理圖并調(diào)試源程序。 3、連接ISP下載器一端到USB接口,另一端連到目標(biāo)板。打開實(shí)驗(yàn)臺電源,參考ISP下載軟件使用方法對程序進(jìn)行下載。4、接下來大家就可以按照硬件連接表連線了,然后測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力。*5、用硬件仿真器51TRACERmini測試程序,觀察實(shí)驗(yàn)現(xiàn)象,理解程序,最后自己修改程序提高編程能力(選做)。9.參考程序見附錄。10.思考題(1)在測距中加入溫度補(bǔ)償,來提高測量距離的精度。(2)考慮盲區(qū)和死區(qū)的處理方法。4、附錄實(shí)驗(yàn)一源程序ORG 00H AJMPSTART ORG0100HSTART: MOV A,#0FEH MOV P0,A;初始化P0口MAIN:JNBP1.0,K1;判斷K1是否按下 JNBP1.1,K2;判斷K2是否按下 SJMP MAINK1: LCALL DELAYMS;延時(shí)消抖JNBP1.0,K11;再次判斷SJMP MAINK11: RR A MOV P0,A LCALL DELAY SJMP MAINK2: LCALL DELAYMS;延時(shí)消抖JNBP1.1,K22;再次判斷SJMP MAINK22: RL A MOV P0,A LCALL DELAY SJMPMAINDELAYMS: MOVR3,#60;30MSD0:MOVR4,#248DJNZR4,$DJNZR3,D0 RETDELAY: MOV R5,#20 ;延時(shí)程序,延時(shí)0.2sD1: MOV R6,#20D2: MOV R7,#248 DJNZ R7,$ DJNZ R6,D2 DJNZ R5,D1 RET END實(shí)驗(yàn)二源程序//與程序流程圖不一致ORG 00HLJMP START ;跳至主程序 ORG 000BH ;中斷子程序起始地址T0INT: PUSH PSW ;狀態(tài)保護(hù) MOV TH0,#0FCH ;置定時(shí)器初值 MOV TL0,#17H MOV A,#0FFH MOV P0,A MOV A,P2 RL A MOV P2,A CJNEA,#00000001B,N_LOOP1 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#01H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A ;段碼送LED顯示 JMP EXITN_LOOP1: CJNEA,#00000010B,N_LOOP2 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#02H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A JMP EXITN_LOOP2: CJNEA,#00000100B,N_LOOP3 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#03H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A JMP EXITN_LOOP3: CJNEA,#00001000B,N_LOOP4 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#04H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A JMP EXITN_LOOP4: CJNEA,#00010000B,N_LOOP5 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#05H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A JMP EXITN_LOOP5: CJNEA,#00100000B,N_LOOP6 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#06H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A JMP EXITN_LOOP6: CJNEA,#01000000B,N_LOOP7 ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#07H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,A JMP EXITN_LOOP7:CJNEA,#10000000B,EXIT ;相等就不跳轉(zhuǎn) MOV DPTR,#TABLE ;指針指向表頭地址 MOV A,#08H ;設(shè)置地址偏移量 MOVC A,@A+DPTR ;查表取得段碼,送A存儲 MOV P0,AEXIT: POP PSW RETI ORG 0100HSTART: MOV P0,#0FFH MOV P2,#01H MOV TMOD,#01H ;方式1,定時(shí)器 MOV TH0,#0FCH ;置定時(shí)器初值 MOV TL0,#17H MOV IE,#10000010B ;EA=1,IT0=1 SETB TR0 ;開始定時(shí) JMP $TABLE: DB 0c0H,0f9H,0a4H,0b0H,99H,92H,82H,0f8H,80H,90H,0ffH;(0-9,of

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論