數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)_第1頁
數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)_第2頁
數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)_第3頁
數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)_第4頁
數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)_第5頁
已閱讀5頁,還剩49頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)(可以直接使用,可編輯完整版資料,歡迎下載)

多路智能競賽搶答器設(shè)計數(shù)字電子技術(shù)課設(shè)多路智能競賽搶答器設(shè)計(常用版)(可以直接使用,可編輯完整版資料,歡迎下載)摘要搶答器作為一種工具,已廣泛應(yīng)用于各種智力和知識競賽場合。在競賽、文體娛樂活動(搶答活動)中,能準確、公正、直觀地判斷出搶答者的機器。通過搶答者的指示燈顯示、數(shù)碼顯示和警示顯示等手段指示出第一搶答者。搶答器多向著數(shù)字智能化發(fā)展。數(shù)字搶答器由主體電路與擴展電路兩大部分組成:優(yōu)先編碼電路、鎖存器、譯碼電路將輸入信號顯示;用控制電路和開關(guān)啟動報警電路,以上兩部分組成主體電路;通過定時電路和譯碼電路將脈沖信號在顯示器上輸出實現(xiàn)計時功能,構(gòu)成擴展電路。搶答器除具有基本的搶答功能外,還具有定時、計時和報警多重功能。主持人通過時間預(yù)設(shè)開關(guān)預(yù)設(shè)供搶答的時間,系統(tǒng)將完成自動倒計時。關(guān)鍵字:搶答器鎖存器報警電路譯碼電路AbstractViestoanswerfirstdeviceasatool,hasbeenwidelyappliedinallkindsofintelligenceandknowledgecompetitionsituation.Inthecompetition,entertainmentactivities(viestoanswerfirstactivities),canaccurate,objective,intuitivetodiagnosetheviestoanswerfirstthemachine.Throughthedisplay,theindicatorofviestoanswerfirstdigitaldisplayandalarmdisplay,andothermeanstoindicatethefirstviestoanswerfirst.Themoretowarddigitalintelligentviestoanswerfirst.Themaincircuitbydigitalviestoanswerfirstandexpandingthecircuittwomaincomponents:prioritycodingcircuit,latches,decodercircuitwillinputsignaldisplay;Usethecontrolcircuitandtheswitchalarmcircuit,theabovetwopartssubjectcircuit;Wheninthecircuitanddecodethecircuitwillpulsesignalonadisplayoutputoftimingfunction,constituteexpansioncircuit.Inadditiontobasictheviestoanswerfirstviestoanswerfirstfunctionoutside,stillhavetiming,timingandalarmmultiplefunctions.Bythetimethedefaultdefaultforviestoanswerfirstthetimeswitch,thesystemwillcompleteautomaticcountdown.Keyword:viestoanswerfirstthelatchesalarmcircuitdecodercircuit目錄TOC\o"1-3"\h\u2357多路智能競賽搶答器設(shè)計 12506摘要 130651Abstract 228617一、工作原理 5202661、原理框圖 5293992、主要芯片介紹 614615三、電路設(shè)計 9118921、主體電路設(shè)計 987562、擴展電路 111757(1)定時電路 119947(2)時序控制電路 13(296693)控制電路和報警電路 13(2334)參考原理及簡要說明 1619433四、仿真圖形 1726992五、心得與小結(jié) 1922323七、參考文獻: 21緒論:設(shè)計目的:1.熟悉智力競賽搶答器的工作原理,掌握搶答電路、優(yōu)先編碼電路、鎖存電路、定時電路、報警電路、時序控制電路、譯碼電路、顯示電路及報警電路的設(shè)計方法。2.結(jié)合課程中所學(xué)的理論知識,獨立設(shè)計方案,達到學(xué)有所用的目的。3.學(xué)會查閱相關(guān)手冊與資料,進一步熟悉常用的電子器件類型與特性,并掌握合理選用的原則,培養(yǎng)獨立分析和解決問題的能力。實驗要求:1.設(shè)計一個智力競賽搶答器,可同時供8名選手或8個代表隊參加比賽,他們的編號分別是0、1、2、3、4、5、6、7,各用一個搶答按鈕,按鈕的編號與選手的編號相對應(yīng),分別是So、S1、S2、S3、S4、S5、S6、S7。2.給節(jié)目主持人一個控制開關(guān),實現(xiàn)系統(tǒng)清零和搶答的開始。具有數(shù)據(jù)鎖存和顯示功能。搶答開始后,如果有選手按下?lián)尨鸢存I,其編號立即鎖存并顯示在LED上,同時揚聲器報警。此外,禁止其他選手再次搶答。選手編號一直保存到主持人清除。擴展功能:1.具有定時搶答功能,可由主持人設(shè)定搶答時間。當(dāng)搶答開始后,定時器開始倒計時,并顯示在LED上,同時揚聲器發(fā)聲提醒。2.選手在規(guī)定時間內(nèi)搶答有效,停止倒計時,并將倒計時時間顯示在LED上,同時報警。3.在規(guī)定時間內(nèi),無人搶答時,電路報警提醒主持人,次后的搶答按鍵無效。一、工作原理1、原理框圖圖一定時搶答器的總體框圖原理簡述:實際進行智力競賽時,參賽者分為若干組,搶答時能判定出優(yōu)先搶答者,并予以顯示組號或鳴叫?;卮饐栴}有時間限制,到時要告警,主持人根據(jù)問題正確與否給予加分或減分。因此要完成智力競賽搶答器的電路至少應(yīng)包括幾個部分:其一、判斷競賽者組號并顯示出來。其二、規(guī)定答題的時間并顯示出來。其三、定時控制和告警等。定時搶答器的總體框圖如上圖所示,它由主體電路和擴展電路兩部分組成。主體電路:完成基本的搶答功能,即開始搶答后,當(dāng)選手按動搶答鍵時,能顯示選手的編號,同時能封鎖輸入電路,禁止其他選手搶答。擴展電路完成定時搶答的功能。定時搶答器的工作過程是:接通電源時,節(jié)目主持人將開關(guān)置于“清除”位置,搶答器處于禁止工作狀態(tài),編號顯示器滅燈,定時器倒計時。當(dāng)定時時間到,卻沒有選手搶答時,系統(tǒng)報警,并封鎖輸入電路,禁止選手超時后搶答。當(dāng)選手在定時時間內(nèi)按動搶答鍵時,搶答器要完成以下四項工作:①優(yōu)先緞電路立即分辨出搶答者的編號,并由鎖存器進行鎖存,然后由譯碼顯示電路顯示編號;②揚聲器發(fā)出短暫聲響,提醒節(jié)目主持人注意;③控制電路要對輸入編碼電路進行封鎖,避免其他選手再次進行搶答;④控制電路要使定時器停止工作,時間顯示器上顯示剩余的搶答時間,并保持到主持人將系統(tǒng)清零為止。當(dāng)選手將問題回答完畢,主持人操作控制開關(guān),使系統(tǒng)回復(fù)到禁止工作狀態(tài),以便進行下一輪搶答。2、主要芯片介紹(1)74LS4874LS148是輸出高電平有效的中規(guī)模集成BCD七段顯示頁碼驅(qū)動器,功能簡圖與管腳引線圖如下圖所示。圖二74LS48管腳引線圖(2)74LS14874LS148是8-3線優(yōu)先編碼器。它允許多個輸入信號同時有效,但只對一個優(yōu)先級最高的輸入信號進行編碼。圖三74LS148引腳圖(3)74LS192十進制可逆計數(shù)器74LS192引腳圖管腳及功能表74LS192是同步十進制可逆計數(shù)器,它具有下述功能:異步清零:CR=1,Q3Q2Q1Q0=0000;異步置數(shù):CR=0,Q3Q2Q1Q0=D3D2D1D0;保持:CR=0,LD-1,CPU=CPD=1,Q3Q2Q1Q0保持原態(tài);加計數(shù):CR=0,LD=1,CPU=CP,CPD=1,Q3Q2Q1Q0按加法規(guī)律計數(shù);減計數(shù):CR=0,LD=1,CPU=1,CPD=CP,Q3Q2Q1Q0按減法規(guī)律計數(shù);圖四74LS192引腳排列圖和邏輯符號圖中:為置數(shù)端,為加計數(shù)端,為減計數(shù)端,為非同步進位輸出端,為非同步借位輸出端,P0,P1,P2,P3為計數(shù)器輸入端,為清除端,Q0,Q1,Q2,Q3為數(shù)據(jù)輸出端。異步級聯(lián)方式的特點是:用前級計數(shù)器的輸出作為后級計數(shù)器的時鐘信號。如圖:用兩片74LS129構(gòu)成M=100的計數(shù)器。圖五中規(guī)模集成計數(shù)器的異步級聯(lián)(4)74LS27974LS279集成電路如圖R=1,S=0時,Qn+1=1,觸發(fā)器置1狀態(tài)R=0,S=1時,Qn+1=0,觸發(fā)器置0狀態(tài)R=1,S=1時,Qn+1=Qn,觸發(fā)器保持原來狀態(tài)R=1,S=0時,觸發(fā)器狀態(tài)不穩(wěn)(不允許這種輸入存在)圖六74LS279引腳圖(5)74LS12174LS121是具有施密特觸發(fā)器輸入的單穩(wěn)態(tài)觸發(fā)器,有較高的抗干擾度且內(nèi)部有鎖存電路。其引腳圖和功能表如下。圖六74LS121引腳圖和功能表三、電路設(shè)計1、主體電路設(shè)計主體電路完成搶答器的基本功能。根據(jù)基本功能要求考慮組成該電路的集成芯片。選擇帶有選通端和編碼輸出標志端的優(yōu)先編碼器74LS148做為輸入編碼電路,用四個RS觸發(fā)器可以完成對編碼三個輸出端的鎖存和譯碼器的滅燈()控制。選擇74LS279(四個RS觸發(fā)器)比較合適。譯碼器顯示電路選74LS48,配共陰極數(shù)碼管(LED)。主體電路參考圖,如圖所示。圖七搶答器原理框圖當(dāng)節(jié)目主持人控制鍵在“清零”位置時,RS觸發(fā)器的端為低電平,譯碼器的C=B=A=0,且74LS48的=0,使顯示器滅燈,同時使編碼器74LS148的選通端=0,使74LS148處于工作狀態(tài),此時鎖存器不工作。當(dāng)節(jié)目主持人控制按鈕處于“開始”位置時,優(yōu)先編碼器、鎖存器均處于工作狀態(tài),即搶答器處于等待工作狀態(tài),等待搶答者搶答,等待輸入端,…,輸入信號。若有先回答者如S2(S2閉合),則74LS148編碼輸出,=101,=0,使74LS279的輸出4Q3Q2Q=010,1Q=1,=1,則譯碼器74LS48工作,顯示器顯示搶答者的編號為2,同時使74LS148的=1,Q=1,使編碼器不工作,停止編碼,封鎖其它選手按鍵送出的搶答信號,并發(fā)出光電報警。當(dāng)S2放開后,,但1Q仍鎖存為1,74LS148仍處于禁止?fàn)顟B(tài)。這就保證了搶答者的優(yōu)先性以及搶答電路的準確性。當(dāng)搶答器回答完問題后,由主持人操作控制開關(guān)S,使搶答電路復(fù)位,以便進行下一輪搶答。圖八搶答器電路圖2、擴展電路主體電路組成簡易搶答器,再配以定時電路和時序控制便構(gòu)成功能完善的智力競賽搶答器。(1)定時電路定時電路的功能是節(jié)目主持人根據(jù)需要設(shè)定搶答時間,實際上就是一個能予置數(shù)的遞減十進制計數(shù)器,配以譯碼顯示電路。其計數(shù)脈沖由秒信號發(fā)生器提供。只要選擇具有可予置數(shù)的可逆8421BCD碼十進制計數(shù)器芯片,就可完成計數(shù)器任務(wù),選用74LS192,配以74LS48和共陰極的LED數(shù)碼管。由于對秒信號不是要求太精確,所以用555定時器,組成秒信號產(chǎn)生器.圖九定時器電路電路框圖圖十定時器電路(2)時序控制電路功能是用以控制各部分按功能要求協(xié)調(diào)工作,它要完成如下工作:①節(jié)目主持人將控制開關(guān)扳向“清除位置”時,搶答編號顯示滅燈,搶答定時器予置數(shù),顯示定時時間。開關(guān)扳向“開始”位置時,揚聲器發(fā)出聲響(1S左右),搶答電路進入正常工作狀態(tài),定時器開始倒計時。②當(dāng)選手按動搶答按鈕時,揚聲器發(fā)出聲響,搶答電路和定時器停止工作。③當(dāng)設(shè)定定時時間到,無人搶答時,揚聲器發(fā)出聲響,同時搶答電路和定時器停止工作。從上述功能要求看,控制聲響部分的信號有三個,它們分別是:主持人開關(guān)S=1代表開始;有搶答時的搶答輸出狀態(tài)=0;定時時間到無人搶答(即計數(shù)器74LS192(2)的=)??刂坡曧憰r間為1S左右,由集成單穩(wěn)態(tài)觸發(fā)器74LS121來完成。另外對編碼和定時計數(shù)脈沖還要加以控制,由集成邏輯門電路去控制。圖十一定時器和報警電路框圖(3)控制電路和報警電路:

由555芯片構(gòu)成多諧振蕩電路,555的輸出信號再經(jīng)或門控制顯示閃爍??刂齐娐钒〞r序和報警兩個電路,如圖所示??刂齐娐沸杈哂幸韵聨讉€功能:主持人閉合開關(guān),多路搶答器電路和計時電路進入正常狀態(tài);參賽者按鍵時,搶答電路和計時電路停止工作;搶答時間到,無人搶答,0閃爍,搶答電路和計時電路停止工作。

由功能表可以看出,要使電路實現(xiàn)倒計時(減法)功能,應(yīng)使CR=0,PE非=1,CP+=1,CP-=CP??捎肅R端接電平開關(guān)來控制計時器的工作與否。

閃爍顯示電路需要在一種情況下做出反應(yīng)當(dāng)裁判員給出“請回答”指令后,計時器開始倒計時,若回答問題時間到達限定的時間,閃爍。閃爍電路由兩部分組成:一是由門電路組成的控制電路,二是74LS192驅(qū)動電路。門控電路主要由或門組成,它的兩個輸入,一個來自由555芯片構(gòu)成多諧振蕩電路,另一個來自計時系統(tǒng)高位計數(shù)器的借位信號QB,它說明計時電路在3秒,2秒,1秒,0秒倒計時再向9秒轉(zhuǎn)化時向高位借位時給出一個負脈沖經(jīng)反相器得到一個高電平。這個高電平信號或上方波信號就使顯示器閃爍。

圖十二報警電路的控制信號產(chǎn)生電路圖十三搶答與定時電路的時序控制電路報警電路:由555定時器和三極管構(gòu)成,如下圖所示。其輸出信號經(jīng)三極管推動揚聲器。PR為控制信號,當(dāng)PR為高電平時,多諧振蕩器工作;當(dāng)PR為低電平時,電路停振。圖十四聲響報警電路振蕩電路:本競賽搶答器需要產(chǎn)生頻率為500KHZ的脈沖信號,用于觸發(fā)器的CP信號,及頻率為1HZ信號用于計時電路。以上電路可用555定時器組成,也可用石英晶體組成的振蕩器經(jīng)過分頻得到。其中555定時器構(gòu)成多諧振蕩器,振蕩周期為:T=0.7[(R1+2R2)C]=987msR1=47KR2=47KC=10uF圖十五振蕩電路圖(4)參考原理及簡要說明圖十六多路搶答器總電路圖節(jié)目主持人將開關(guān)S置清除位置,搶答器禁止工作,編號顯示器滅燈,定時顯示器(由74LS192等組成)同時顯示設(shè)定時間,當(dāng)主持人宣布搶答題目后,,將控制開關(guān)置開始位置,搶答開始,揚聲器發(fā)出聲響提示,由于=0,所以搶答器處于工作狀態(tài),定時器開始倒計時,若定時時間到,沒有人搶答時,報警聲響,并且使=1禁止選手超時搶答。若在規(guī)定時間內(nèi)(定時內(nèi))有選手按搶答按鈕時,搶答器要完成以下工作:編碼電路立即找出搶答者的編號,并加以鎖存后送入譯碼顯示電路顯示出該編號,同時揚聲器發(fā)出短暫聲響提醒主持人,控制電路封鎖編碼器避免其它選手再搶答,即只允許一次只有一個先搶答者。同時定時器停止工作,顯示當(dāng)前的時間,當(dāng)選手問題回答完畢時,主持人操作控制開關(guān),使搶答器回到禁止工作狀態(tài),準備下一輪搶答。四、仿真圖形五、心得與小結(jié)持續(xù)近一個星期的課程設(shè)計結(jié)束了,我的設(shè)計雖然有一些小毛病,但總體上還是很成功的。一周的時間雖然很短暫,但從中獲益匪淺.畢竟在課堂上學(xué)習(xí)的內(nèi)容真真正正能用到實際是有點超乎我的想象,而且要把理論知識付諸實踐確實非一件易事。我從最初的設(shè)想設(shè)計一個什么樣的數(shù)字電路到繪制電路圖,然后打印排版,最后校正設(shè)計中的漏洞與不足。整個過程中我翻閱大量資料,上網(wǎng)查找有關(guān)內(nèi)容,馬不停蹄思考如何繪制電路,并和周圍同學(xué)進行著一遍又一遍的交流與設(shè)計思想。首先對數(shù)字電路這門課程有了更深的了解,因為課程設(shè)計本身要求將以前所學(xué)的理論知識運用到實際的電路設(shè)計當(dāng)中去,在電路的設(shè)計過程中,無形中便加深了對數(shù)字電路的了解及運用能力,對課本以及以前學(xué)過的知識有了一個更好的總結(jié)與理解;以前的數(shù)字實驗只是針對某一個小的功能設(shè)計,而課程設(shè)計對我們的總體電路的設(shè)計的要求更嚴格,需要通過翻閱復(fù)習(xí)以前學(xué)過的知識確立了實驗總體設(shè)計方案,然后逐步細化進行各模塊的設(shè)計。例如:555定時器的使用原理和作用,用它構(gòu)成觸發(fā)器和振蕩器的方法,還有一個難題就是怎么來選擇我所需要的芯片,從而實現(xiàn)我所想實現(xiàn)的功能。其次,以前沒有接觸過仿真軟件,這次審計要使用仿真軟件,所以學(xué)會了multisim軟件的基本應(yīng)用,這對我以后的工作和學(xué)習(xí)的幫助都很有用處。但是在電路仿真的過程中出現(xiàn)大量問題,需要我細心解決,所以,我對電路故障的排查能力有了很大的提高。通過這次課設(shè)讓我明白了理論和實際操作之間差距,而且也讓我很明確得意識到自己在數(shù)電上有很多的知識漏洞,以后應(yīng)該多鉆研一下。從這過程,我鍛煉了自己的動手能力,獨立思考能力,分析實踐能力,并學(xué)會了把自己的設(shè)計經(jīng)驗和思路拿出來與大家分享。再次感謝老師的輔導(dǎo)以及同學(xué)的幫助。“紙上得來終覺淺,絕知此事要躬行”,由此看來實踐的重要,短短一個星期的課程設(shè)計,學(xué)到了很多的東西,最重要的是我自己動手把我學(xué)習(xí)的知識用于實踐,做出成功的作品,這才算真正學(xué)習(xí)到了東西??傊@次實驗我收獲頗多。實踐是獲得知識的一種最好的手段!六、元件清單芯片名稱數(shù)目3-8線譯碼器74LS483十進制可逆計數(shù)器74LS19228-3線優(yōu)先編碼器74LS1481七段數(shù)碼顯示管SM42053555定時器2非重觸發(fā)單穩(wěn)態(tài)觸發(fā)器74LS12114R-S觸發(fā)器74LS27942輸入四與門芯片74LS081電容若干電阻若干開關(guān)若干七、參考文獻:[1]康華光.電子技術(shù)基礎(chǔ)數(shù)字部分.北京:高等教育出版社,2006[2]王彥朋.大學(xué)生電子設(shè)計與應(yīng)用.北京:中國電力出版社,2007[3]張欽雙.實用電子電路200例.北京:機械工業(yè)出版社,2003[4]陳有卿.實用555時基電路300例.北京:中國電力出版社,2004[5]謝自美主編.電子線路·設(shè)計·實驗測試(第二版).武漢:華中科技大學(xué),2000[6]閻石主編.數(shù)字電子技術(shù)基礎(chǔ)(第四版)北京:高等教育出版社,2004.系別專業(yè)(班級)系別專業(yè)(班級)姓名學(xué)號………密……封…………裝…訂………線………課程名稱數(shù)字電子技術(shù)基礎(chǔ)A卷考試形式閉卷考核類型考試本試卷共4大題,卷面滿分100分,答題時間120分鐘。得分評卷人一、填空題:(每小題2分,共10分)1.二進制數(shù)(1011.1001)2轉(zhuǎn)換為八進制數(shù)為,轉(zhuǎn)換為十六進為。2.?dāng)?shù)字電路按照是否具有記憶功能通??煞譃閮深悾骸?。3.已知邏輯函數(shù)F=A⊕B,它的與非-與非表達式為,或與非表達式為。4.5個變量可構(gòu)成個最小項,變量的每一種取值可使個最小項的值為1。5.555定時器構(gòu)成的施密特觸發(fā)器,若電源電壓VCC=12V,電壓控制端經(jīng)0.01μF電容接地,則上觸發(fā)電平UT+=V,下觸發(fā)電平UT–=V。得分評卷人………密……封…………裝…訂………………密……封…………裝…訂………線………1.用代數(shù)法將下面的函數(shù)化為最簡與或式:F=C·[+(B+C)D]2.用卡諾圖法將下列函數(shù)化簡為最簡與或式:F(A、B、C、D)=∑m(0,2,4,5,7,13)+∑d(8,9,10,11,14,15)得分評卷人三、分析題:(每小題10分,共40分)1.試分析題1圖所示邏輯電路,寫出邏輯表達式和真值表,表達式化簡后再畫出新的邏輯圖。題1圖………密……封………密……封…………裝…訂………線………(1)畫出電路的狀態(tài)轉(zhuǎn)換圖(Q3Q2Q1Q0);(2)說出電路的功能。(74161的功能見表)題2圖3.分析如題3圖所示由邊沿JK觸發(fā)器組成的時序邏輯電路,寫出電路的驅(qū)動方程、狀態(tài)方程,畫出狀態(tài)轉(zhuǎn)換圖。題3圖…………密……封…………裝…訂………線………4.圖4是用555定時器構(gòu)成的壓控振蕩器,試分析輸入控制電壓和振蕩頻率之間的關(guān)系。當(dāng)升高時輸出的頻率是升高還是降低?題4圖得分評卷人四、設(shè)計題:(每小題10分,共30分)1.請用一片4線-16線譯碼器74154和適量的與非門設(shè)計一個能將8421BCD碼轉(zhuǎn)換為2421BCD碼的碼轉(zhuǎn)換器。(2421BCD碼的編碼表和74154的邏輯符號如下圖所示)2.設(shè)計一個同步時序電路,只有在連續(xù)兩個或者兩個以上時鐘作用期間兩個輸入信號X1和X2一致時,輸出才為1,其余情況輸出為0?!堋狻b…訂………密……封…………裝…訂………線………題5圖數(shù)電期末試卷評分標準課程名稱數(shù)字電子技術(shù)基礎(chǔ)A卷考試形式閉卷考核類型考試本試卷共4大題,卷面滿分100分,答題時間120分鐘。一、填空題:(每小題2分,共10分),B92.組合邏輯電路、時序邏輯電路3.,4.32,15.8,4………密……封…………裝………密……封…………裝…訂………線………用代數(shù)法將下面的函數(shù)化為最簡與或式:F=C·[+(B+C)D]解:F=C·[+(B+C)D]2.用卡諾圖法將下列函數(shù)化簡為最簡與或式:00011110CDAB00011110F(A、B、C、D)=∑m00011110CDAB00011110解:填對卡諾圖3分,圈對卡諾圖3分得出正確結(jié)果4分1001111001××××××三、分析題:(每小題10分,共40分)1.解:邏輯表達式(5分)真值表:(3分)ABCY00010011010101111001101111011110邏輯圖:(2分)&&ABCY2.解:(1)狀態(tài)轉(zhuǎn)換表:(3分)Qn3Qn2Qn1Qn0Qn+13Qn+12Qn+11Qn+10000000010001001000100011001101000100010101010110011001110111100010001001100110101010101110110000狀態(tài)轉(zhuǎn)換圖:(3分)0000000000010010001101000101011001111000100110101011Q3Q2Q1Q0(2)功能:(4分)該電路的功能為:11進制計數(shù)器。從0000開始計數(shù),當(dāng)Q3Q2Q1Q0為1011時,通過與非門異步清零,完成一個計數(shù)周期。3.解:驅(qū)動方程: J1=K1=1(1分) J2=K2=(1分)狀態(tài)方程: (2分) (2分)狀態(tài)轉(zhuǎn)換圖(4分)0000111001Q2Q14.解:直接控制著比較電壓,所以控制著充放電的時間,且,所以有:四、設(shè)計題:(每小題各10分,共30分)1.解:設(shè)2421BCD碼為,則:(4分)邏輯圖如圖所示:(6分)解:(1)列出狀態(tài)轉(zhuǎn)移圖:2分由于只有兩個狀態(tài),所以只需要一位觸發(fā)器,設(shè)S0為Q=0,S1為Q=1,(2)畫出狀態(tài)轉(zhuǎn)移表:2分0000010100111001011101111011000000001011(3)畫出卡諾圖:2分(4)寫出狀態(tài)方程和輸出方程:2分⊙⊙(5)畫出電路圖:2分 3.解:次態(tài)卡諾圖:6分(每個2分)狀態(tài)方程與驅(qū)動方程:4分(圈卡諾圖對1分,每個1分)競賽搶答器要求:設(shè)計一個供四人參賽的搶答器,能準確分辨、記錄第一個有效按下?lián)尨疰I者,并用聲、光指示;主持人沒有宣布搶答開始時,搶答不起作用。主持人宣布搶答開始時,按“開始”鍵,搶答開始,同時啟動計時器計時;計時器計時采用倒計數(shù)的方式,以加強現(xiàn)場氣氛,增加緊迫感。若預(yù)定時間內(nèi)無人搶答,自動給出信號停止搶答,以免冷場。倒計數(shù)定時器的時間可以隨意預(yù)置;每組有一個計分器。從預(yù)置的100分開始,由主持人控制。答對者加10分,答錯則扣10分。拓展要求:具有較強的擴展性。用無線的方式實現(xiàn)搶答。設(shè)計提示:關(guān)鍵是要存住第一搶答者的信息,并阻斷以后搶答者的信號??捎眉傻亩嘟M觸發(fā)器或鎖存器輔以邏輯門實現(xiàn)(例如用TTL電路的74373,CMOS電路的14599等);加減計分可以用十進制的可逆計數(shù)器完成,個位不變,僅十位以上參與加減;倒計時可用減法計數(shù)器完成;各單元電路分別設(shè)計、調(diào)試,最后合成。目錄1.前言 12.總體方案設(shè)計 22.1方案比較 22.2方案論證及選擇 43.單元模塊設(shè)計 53.1搶答器電路 53.2計時器電路 83.2.1555定時器 9減法計數(shù)器 103.2.3數(shù)字顯示器 123.3計分器電路 124.主要器件介紹 145.系統(tǒng)功能調(diào)試 166.總結(jié)與體會 177.謝辭 18【參考文獻】 19附錄 201前言關(guān)于這次設(shè)計的用于多人競賽搶答的器件,在現(xiàn)實生活中很常見,尤其是在隨著各種智益電視節(jié)目的不斷發(fā)展,越來越多的競賽搶答器被用在了其中,這種搶答器的好處是不僅能夠鍛煉參賽選手的反應(yīng)能力,而且能增加節(jié)目現(xiàn)場的緊張、活躍氣氛,讓觀眾看得更有情趣??梢姄尨鹌髟诂F(xiàn)實生活中確實很實用,運用前景非常廣泛。在知識競賽中,特別是做搶答題時,在搶答過程中,為了知道哪一組或哪一位選手先答題,必須要有一個系統(tǒng)來完成這個任務(wù)。如果在搶答中,只靠人的視覺是很難判斷出哪組先答題。這次設(shè)計就是用幾個觸發(fā)器以及三極管巧妙的設(shè)計搶答器,使以上問題得以解決,即使兩組的搶答時間相差幾微秒,也可分辨出哪組優(yōu)先答題。本文主要介紹了搶答器的工作原理及設(shè)計,以及它的實際用途。這次設(shè)計的智力競賽搶答器,主要是由三個部分構(gòu)成:一個是由幾個D觸發(fā)器的構(gòu)成的用于搶答的部分,一個是由幾個JK觸發(fā)器和74LS4511構(gòu)成用于倒計時,另外一部分則是由兩個74190構(gòu)成的一個可逆計數(shù)器,即計分器。2.總體方案設(shè)計2.1方案比較:以下設(shè)計的是智力搶答器的方案流程圖:秒脈沖秒脈沖計時控制顯示搶答控制器聲光提示倒計時顯示主持人控制計分器圖2.1方案一流程圖搶答器主要是由四個三極管、和四個D觸發(fā)器以及四個或非門構(gòu)成,其中三極管是與主持人一起配合使用,用來控制是否開始搶答,當(dāng)主持人將開關(guān)接地時,三極管截至,此時三極管可以當(dāng)作一個二極管使用當(dāng)有選手搶答時就通過三極管將信號傳送給D觸發(fā)器,D觸發(fā)器在將信號傳送給或非門并將其他選手鎖存,同時將信號送給發(fā)光二極管與喇叭。其工作原理是當(dāng)主持人按下?lián)尨痖_關(guān)時,選手能進行搶答。當(dāng)有選手搶時,搶答信號就通過三極管傳入D觸發(fā)器,并且最先收到搶答信息的D觸發(fā)器送出一個反饋信號將其余三位選手的信號封鎖。當(dāng)選手回答完畢問題時,主持人啟動計分器,并且給該選手加上或減去分數(shù)。計分器通過譯碼器將信號傳送給一數(shù)碼管顯示出來。顯示分數(shù)顯示分數(shù)選手搶答按鍵計分器選手搶答按鍵計分器主持人控制主持人控制搶答控制器搶答控制器定時器倒計時器定時器倒計時器聲光提示顯示時間聲光提示顯示時間圖2.2方案二流程圖方案二的搶答器則主要是由四個RS觸發(fā)器,一個74LS48以及一個優(yōu)先編碼器74LS148構(gòu)成,其中四個RS觸發(fā)器的輸出接74LS48,74LS48在接數(shù)碼管,R端接5V電源其如圖RRSQQRSRSQRSQ5v.主持人.10kR圖2.3RS觸發(fā)器S端接74LS48連接選手的輸入信號,當(dāng)主持人將開關(guān)接通時,RS觸發(fā)器的R端為低電平,輸出端也全部為低電,選手不能搶答。當(dāng)斷開時,RS觸發(fā)器處于工作狀態(tài)中,當(dāng)有選手搶答時,信號輸入S端,并且鎖定其他選手。2.2方案論證及選擇通過以上兩種方案的比較可知,方案一的設(shè)計是每當(dāng)有選手搶答成功時,都會有聲光伴隨,而且是具體到每一位,給人直觀的感覺。方案二的設(shè)計則是用一個數(shù)碼顯示器顯示搶答成功者的號碼,與第一個相比顯得不能讓人一下就反映過來誰是搶答成功者;另外方案一更加的簡潔、易行,而且使用到的元器件也都是我們所常用到的一些元件比如:555,74LS148以及開關(guān)二極管電容電的電路與方案二比較顯得更簡單、明了。這樣就是就選擇第一種方案。3.單元模塊設(shè)計此部分主要是詳細介紹該智力搶答器各個組成模塊,以及各模塊的器件組成以及相應(yīng)的功能。此智力競賽搶答器由三部分組成:搶答器、計時器以及計分器。下面就依次介紹各組成部分的設(shè)計方法,功能等。3.1搶答器電路這個搶答器設(shè)計成可供四個人搶答使用,它的組成器件有:四個三極管、四個D觸發(fā)器(74LS74)、四個或非門以及用于起提示作用的發(fā)光二極管和揚聲器。電路圖如下:圖3.1搶答器其工作原理如下:當(dāng)主持人將開關(guān)撥至接通狀態(tài)時,這樣三極管處于截至狀態(tài),使選手無法搶答,整個搶答器處于被鎖定狀態(tài),當(dāng)主持人將開關(guān)斷開時,三極管的集電極接高電平,這樣三極管處于導(dǎo)通狀態(tài),當(dāng)選手有搶答信號輸入時可以通過三極管將信號傳送給D觸發(fā)器,而D觸發(fā)器的作用則是判斷選手的信號,并鎖住其他選手的信號傳入。首先,對于三極管的工作原理,主要是巧妙的利用了它的基極和集電極的電壓關(guān)系來使三極管截至或?qū)蠢昧巳龢O管的開關(guān)作用。晶體三極管的電流放大作用。晶體三極管具有電流放大作用,其實質(zhì)是三極管能以基極電流微小的變化量來控制集電極電流較大的變化量。這是三極管最基本的和最重要的特性。我們將ΔIc/ΔIb的比值稱為晶體三極管的電流放大倍數(shù),用符號“β”表示。電流放大倍數(shù)對于某一只三極管來說是一個定值,但隨著三極管工作時基極電流的變化也會有一定的改變。晶體三極管的三種工作狀態(tài)截止?fàn)顟B(tài):當(dāng)加在三極管發(fā)射結(jié)的電壓小于PN結(jié)的導(dǎo)通電壓,基極電流為零,集電極電流和發(fā)射極電流都為零,三極管這時失去了電流放大作用,集電極和發(fā)射極之間相當(dāng)于開關(guān)的斷開狀態(tài),我們稱三極管處于截止?fàn)顟B(tài)。飽和導(dǎo)通狀態(tài):當(dāng)加在三極管發(fā)射結(jié)的電壓大于PN結(jié)的導(dǎo)通電壓,并當(dāng)基極電流增大到一定程度時,集電極電流不再隨著基極電流的增大而增大,而是處于某一定值附近不怎么變化,這時三極管失去電流放大作用,集電極與發(fā)射極之間的電壓很小,集電極和發(fā)射極之間相當(dāng)于開關(guān)的導(dǎo)通狀態(tài)。三極管的這種狀態(tài)我們稱之為飽和導(dǎo)通狀態(tài)。其次,而D觸發(fā)器的的構(gòu)成原件見下圖:圖3.2D觸發(fā)器此D觸發(fā)器又叫維持——阻塞邊沿觸發(fā)器,該觸發(fā)器有六個與非門組成,其中啊a和b構(gòu)成基本RS觸發(fā)器下面分析其工作原理:Sd和Rd接至基本RS觸發(fā)器的輸入端,它們分別是預(yù)置和清零端,低電平有效。當(dāng)Sd=0且Rd=1時,不論輸入端D為何種狀態(tài),都會使Q=1,=0,即觸發(fā)器置一;當(dāng)Sd=1且Rd=0時,觸發(fā)器的狀態(tài)為0,Sd和Rd通常又稱為直接置1和置0端。工作過程如下:=1\*GB3①、CP=0時,與非門c和g封鎖,其輸出c=e=1,觸發(fā)器的狀態(tài)不變。同時,由于c至f和e至g的反饋信號將這兩個門打開,因此可接收輸入信號D,f=,g==D。=2\*GB3②、當(dāng)CP由0變1時觸發(fā)器翻轉(zhuǎn)。這時c和e打開,它們的輸出c和e的狀態(tài)由f和g的輸出狀態(tài)決定。C==D,e==。由基本RS觸發(fā)器的邏輯功能可知,Q=D。=3\*GB3③、觸發(fā)器翻轉(zhuǎn)后,在CP=1時輸入信號被封鎖。C和e打開后,它們的輸出c和e的狀態(tài)是互補的,即封鎖了D通往基本RS觸發(fā)器的路徑;該反饋線起了使觸發(fā)器維持在0狀態(tài)和阻止觸發(fā)器變?yōu)?狀態(tài)的作用,故該反饋線稱為置0維持線,置1阻塞線。e為0時,將c和g封鎖,D端通往基本RS觸發(fā)器的路徑也被封鎖。維持阻塞D觸發(fā)器狀態(tài)方程和狀態(tài)真值表如下:表3.1D觸發(fā)器真值表SdRdCPD10×××001111↑00011↑01011↑10111↑111通過對D觸發(fā)器的解釋,可以大致看出該搶答器的工作流程,其核心就是該D觸發(fā)器。當(dāng)主持人宣布開始搶答時,將開關(guān)S1斷開,選手迅速按鍵,假設(shè)選手1首先按下?lián)尨疰I,此時一號就輸入一個相當(dāng)于上升沿的脈沖使D1輸出高電平同時將該高電平信號傳送給或非門,通過或非門的判斷將信號輸送到其它選手的D觸發(fā)器的R端并將其鎖定使其無法輸出信號。3.2計時器電路設(shè)計的該計時器主要是由三個部分組成,一個是555定時器用來提供脈沖,一個是由3個JK觸發(fā)器構(gòu)成的減法計數(shù)器,最后一個組成部分是有CD4511譯碼器和數(shù)碼管連接成的,用于顯示時間,器構(gòu)成圖如下:圖3.3計時器電路圖下面分別介紹各個部分的組成器件以及功能。3.2.1555定時器555定時器內(nèi)部結(jié)構(gòu)的簡化原理圖如下,它由3個阻值為5k的電阻組成的分壓器、兩個電壓比較器C1和C2、基本RS觸發(fā)器、放電BJT以及緩沖器G組成。定時器的主要功能取決于比較器的輸出控制RS觸發(fā)器和放電BJTT的狀態(tài)。圖中Rd為復(fù)位輸入端,當(dāng)Rd為低電平時,不管其他輸入端的狀態(tài)如何,輸出Vo為低電平。因此在正常工作時,應(yīng)將其接高電平。圖3.4555結(jié)構(gòu)原理圖由圖可知,當(dāng)5腳懸空時,比較器C1和C2的比較電壓分別為2/3Vcc和1/3Vcc。當(dāng)VI1>2/3Vcc,VI2>1/3Vcc時,比較器C1輸出低電平,比較器C2輸出高電平,基本RS觸發(fā)器被置0,放電三極管T導(dǎo)通,輸出端Vo為低電平。當(dāng)VI1<2/3Vcc,VI2<1/3Vcc時,比較器C1輸出高電平,比較器C2輸出輸出低電平,基本RS觸發(fā)器被置1,放電三極管截止,輸出端Vo為高電平。當(dāng)VI1<2/3Vcc,VI2>1/3Vcc時,基本RS觸發(fā)器R=1、S=1,觸發(fā)器狀態(tài)不變,電路以保持原狀態(tài)不變。表3.2555功能表輸入輸出閥值輸入(VI1)R出發(fā)輸入(VI2)S復(fù)位(Rd)輸出(Vo)放電管T××00導(dǎo)通<2/3Vcc1<1/3Vcc011截止>2/3Vcc0>1/3Vcc110導(dǎo)通<2/3Vcc1>1/3Vcc11不變不變3.2.2減法計數(shù)器圖3.5JK倒計時器電路圖倒計時器的核心由該減法計數(shù)器構(gòu)成,而該減法計數(shù)器則是由三個JK觸發(fā)器構(gòu)成的異步二進制減法計數(shù)器。其中J、K端都是懸空(相當(dāng)于J=1、K=1),該減法計數(shù)器是將前一個觸發(fā)器的端與下一個觸發(fā)器的CP端相連。計數(shù)器的工作過程分為兩步。第一步:計數(shù)器復(fù)位清零。在工作前應(yīng)先對計數(shù)器進行復(fù)位清零。在復(fù)位控制端送一個負脈沖到各觸發(fā)器Rd端,觸發(fā)器狀態(tài)都變?yōu)椤?”,即Q1Q2Q3=000第二步:計數(shù)器開始計數(shù)。當(dāng)?shù)谝粋€時鐘脈沖的下降沿到觸發(fā)器Fo的CP端是,觸發(fā)器Fo開始工作,由于J=K=1,JK觸發(fā)器的功能是翻轉(zhuǎn),觸發(fā)器Fo的狀態(tài)有“0”變?yōu)椤?”,即Q0=1,由“1”變?yōu)椤?”,這相當(dāng)于一個脈沖的下降沿,它送到觸發(fā)器F1的狀態(tài)有“0”變?yōu)椤?”,即=1,由“1”變?yōu)椤?”,它送到觸發(fā)器F2的CP端,觸發(fā)器F2的狀態(tài)有“0”變?yōu)椤?”,=1,三個觸發(fā)器的狀態(tài)均為“1”,計數(shù)器的輸出為。當(dāng)?shù)诙€時鐘脈沖的下降沿到觸發(fā)器Fo的CP端時,觸發(fā)器Fo狀態(tài)翻轉(zhuǎn),由“1”變?yōu)椤?”,則由“0”變?yōu)椤?”,觸發(fā)器F1的狀態(tài)不變,觸發(fā)器F2的狀態(tài)也不變,計數(shù)器的輸出為。當(dāng)?shù)谌齻€時鐘脈沖下降沿到觸發(fā)器Fo的CP端時,F(xiàn)O觸發(fā)器狀態(tài)又翻轉(zhuǎn),由“0”變?yōu)椤?”,則由“1”變?yōu)椤?”(相當(dāng)于脈沖的下降沿),它送到F1的CP端,觸發(fā)器F1狀態(tài)翻轉(zhuǎn),由“1”變?yōu)椤?”,由“0”變?yōu)椤?”,觸發(fā)器F2的狀態(tài)不變,計數(shù)器的輸出為101同樣道理,當(dāng)?shù)谒膥第七脈沖到來時,計數(shù)器的依次變?yōu)?00、011、010、001。表3.33位二進制減法計數(shù)器狀態(tài)表輸入CP脈沖序號計數(shù)器狀態(tài)0000111121103101410050116010700180003.2.3數(shù)字顯示器此部分由CC4511譯碼器以及共陰極數(shù)碼管組成,并且JK觸發(fā)器的輸出另接一個與非門,與非門再接一個發(fā)光二極管,用于提示作用。當(dāng)?shù)谝粋€脈沖到來時,JK觸發(fā)器輸出=111,數(shù)碼管上此時顯示為7,再來一個脈沖,JK觸發(fā)器又輸出為110,此時顯示6,依此類推,當(dāng)JK觸發(fā)器輸出為000時,此時與JK觸發(fā)器的輸出端相連的與非門輸出則變?yōu)?,使得揚聲器發(fā)出聲響提示選手搶答時間到了,不應(yīng)再搶答。同時主持人斷開倒計時器的開關(guān)停止計時。3.3計分器電路計分器是用來記錄先手的得分情況,因此計分器在競賽中也顯得比較重要。下面就就介紹該積分器的電路結(jié)構(gòu)以及工作原理。該計數(shù)器由兩個SN74190和一個D觸發(fā)器構(gòu)成,其圖如下:圖3.6計分器電路圖其中74190是一個加減計數(shù)器,其由控制端5管腳控制,當(dāng)5端口輸入為高電平時,工作狀態(tài)為一個減法器,當(dāng)5端口接低電平時,工作狀態(tài)為加法器。D觸發(fā)器主要是使個位顯示0.由于在開始搶答時,每位選手顯示分數(shù)為100,因此百位的74190置數(shù)為1,十位置數(shù)為0其工作流程如下:假如開始搶答,當(dāng)有選手答題正確時,主持人將開關(guān)撥到加分端并按下脈沖開關(guān)時,U2接收一個脈沖(因為U2的預(yù)置端置數(shù)為0即Q1Q2Q3Q4=0000),此時輸出變?yōu)镼1Q2Q3Q4=0001,即相當(dāng)與給選手加上十分,當(dāng)該選手再次答題正確時,主持人又按下脈沖開關(guān)送出一個脈沖,U2接收到一個脈沖,輸出又變?yōu)镼1Q2Q3Q4=0010即又給選手加上十分即顯示為120,依此類推。當(dāng)?shù)谑畟€脈沖到來時,U2的輸出端Q1Q2Q3Q4輸出1010,同時與Q0Q2相連的與門將接收一個低電平信號輸入到管腳11使置數(shù)從新變?yōu)?開始計數(shù)即輸出又從零開始。與此同時進位端13腳將產(chǎn)生一個進位脈沖,輸入給U1中,此時U1的輸出端將輸出0010,即使百位的數(shù)碼管顯示為2。此時該選手的得分即為200。減法的工作方式和加法相似,這里就不再說了。4.主要器件介紹在這次設(shè)計的用于競賽的搶答器,電路圖時很簡單,組成器件應(yīng)該說都是數(shù)電和模電中很常見的。關(guān)于模電中用到的器件主要是三極管、發(fā)光二極管以及電容等。在模電中主要學(xué)習(xí)了三極管的放大功能和開關(guān)作用,而在這次設(shè)計的電路中,主要是運用了三極管的開關(guān)作用。圖5.1NPN三極管圖5.1NPN三極管三極管的三種工作狀態(tài):1當(dāng)、、β三極管正向?qū)ǎ藭r工作在放大狀態(tài);2當(dāng)、、>/β時,三極管工作在保和區(qū);3當(dāng)、時,三極管工作在截止區(qū)。其中三極管的開關(guān)作用就是利用了它的2、3兩點性質(zhì)。而這次主要用到的器件都是來自數(shù)電中,比如:觸發(fā)器、邏輯門、譯碼器、數(shù)碼管以及555定時器等。而在使用中各個器件也不是獨立工作。圖5.2JK觸發(fā)器管圖5.2JK觸發(fā)器管JK出觸發(fā)器如圖功能有:⑴主從JK觸發(fā)器具有置位、復(fù)位、保持(記憶)和計數(shù)功能;=2\*GB2⑵主從JK觸發(fā)器屬于脈沖觸發(fā)方式,觸發(fā)翻轉(zhuǎn)只在時鐘脈沖的負跳變沿發(fā)生;=3\*GB2⑶不存在約束條件,但存在一次變化現(xiàn)象。=4\*GB2⑷產(chǎn)生一次變化的原因是因為在CP=1期間,主觸發(fā)器一直在接收數(shù)據(jù),但主觸發(fā)器在某些條件下(Q=0,CP=1期間J端出現(xiàn)正跳沿干擾或Q=1,CP=1期間K端出現(xiàn)正跳沿干擾),不能完全隨輸入信號的變化而發(fā)生相應(yīng)的變化,以至影響從觸發(fā)器狀態(tài)與輸入信號的不對應(yīng)。此次用3個JK觸發(fā)器主要是構(gòu)成三位二進制倒計時器計數(shù)器,其中前一個JK觸發(fā)器的Qn端接下一個JK觸發(fā)器CP端。CLR接主持人控制端。圖5.3555定時器圖5.3555定時器集成555定時器是一種將模擬功能與邏輯功能巧妙結(jié)合在一起的中規(guī)模集成電路,因集成電路內(nèi)部含有3個5千歐電阻而得名,在控制、定時、檢測、放聲、報警等方面有著廣泛的應(yīng)用。而這次是將555夠成一個多諧振蕩器。圖5.4D觸發(fā)器圖5.4D觸發(fā)器D觸發(fā)器如圖,其功能有:⑴D觸發(fā)器具有接收并記憶信號的功能,又稱為鎖存器。=2\*GB2⑵D觸發(fā)器屬于脈沖觸發(fā)方式。=3\*GB2⑶D觸發(fā)器不存在約束條件和一次變化現(xiàn)象,抗干擾性能強,工作速度快。而這次正是利用了的以上功能來構(gòu)成一個簡單的搶答器。綜上所述可知在本次設(shè)計的電路中,RS邊沿D觸發(fā)器有四個,其作用是用來鎖存非最先搶答者的搶答信號。而三個JK觸發(fā)器則是構(gòu)成了一個減法計數(shù)器且和555定時器一起使用,形成一個倒計時器,555是為JK觸發(fā)器定時提供提夠脈沖,使JK觸發(fā)器工作。4511譯碼器將JK觸發(fā)器輸出的信號轉(zhuǎn)換成能夠在數(shù)碼管上顯示的有效信號。還有就是74190可逆計數(shù)器,主要是用來計算選手得分情況。5.系統(tǒng)功能調(diào)試這次設(shè)計的搶答器電路圖簡單,很多芯片的邏輯功能也都很清楚所以在調(diào)試時可以前判斷該電路會是怎樣的結(jié)果,對于這個搶答器,它涉及的大多都是數(shù)字電路的問題.所需要調(diào)試的值并不多.首先將各個模塊連接到一起后,注意檢查各個部分是否連接正確,還得仔細地看一

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論