QPSK調(diào)制解調(diào)的simulink仿真_第1頁(yè)
QPSK調(diào)制解調(diào)的simulink仿真_第2頁(yè)
QPSK調(diào)制解調(diào)的simulink仿真_第3頁(yè)
QPSK調(diào)制解調(diào)的simulink仿真_第4頁(yè)
QPSK調(diào)制解調(diào)的simulink仿真_第5頁(yè)
已閱讀5頁(yè),還剩3頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

摘要QPSK是英文QuadraturePhaseShiftKeying的縮略語(yǔ)簡(jiǎn)稱,意為正交相移鍵控,是一種數(shù)字調(diào)制方式。四相相移鍵控信號(hào)簡(jiǎn)稱“QPSK〞。在現(xiàn)代通信系統(tǒng)中,調(diào)制與解調(diào)是必不可少的重要手段。所謂調(diào)制,就是把信號(hào)轉(zhuǎn)換成適合在信道中傳輸?shù)男问降囊环N過(guò)程。解調(diào)那么是調(diào)制的相反過(guò)程,而從已調(diào)制信號(hào)中恢復(fù)出原信號(hào)。本課程設(shè)計(jì)主要介紹通過(guò)進(jìn)行QPSK調(diào)制解調(diào)的基帶仿真,對(duì)實(shí)現(xiàn)中影響該系統(tǒng)性能的幾個(gè)重要問(wèn)題進(jìn)行了研究。針對(duì)QPSK的特點(diǎn),調(diào)制前后發(fā)生的變化,加上噪聲后波形出現(xiàn)的各種變化,通過(guò)星座圖、眼圖、波形圖等來(lái)觀察。程序設(shè)計(jì)與仿真均采用MATLAB集成環(huán)境下的Simulink仿真平臺(tái),最后仿真詳單與理論分析一致。

關(guān)鍵詞:QPSK調(diào)制解調(diào);

Simulink仿真平臺(tái);MATLAB7.0

;噪聲

。目錄TOC\o"1-3"\h\u一、實(shí)驗(yàn)?zāi)康?二、實(shí)驗(yàn)內(nèi)容1三、設(shè)計(jì)原理11.Simulink簡(jiǎn)介12.QPSK星座圖23.QPSK的調(diào)制24.QPSK的解調(diào)4四、設(shè)計(jì)步驟4五、設(shè)計(jì)結(jié)果及分析71.信號(hào)調(diào)制解調(diào)后的時(shí)域波形圖72.數(shù)據(jù)源的頻譜圖73.QPSK調(diào)制后的頻譜84.QPSK解調(diào)后的頻譜85.誤碼曲線圖9六、體會(huì)10七、參考文獻(xiàn)10實(shí)驗(yàn)?zāi)康睦斫怆娮有盘?hào)通信原理。熟悉系統(tǒng)建模方法。配置電子信號(hào),設(shè)計(jì)相關(guān)應(yīng)用方法。實(shí)驗(yàn)內(nèi)容利用Matlab-Simulink建立系統(tǒng)模型。信號(hào)參數(shù):信息速率80Hz,載波中心頻率15MHz,采樣頻率120MHz。依據(jù)相關(guān)參數(shù),產(chǎn)生QPSK調(diào)制信號(hào)。設(shè)計(jì)一種方法完成QPSK信號(hào)的數(shù)據(jù)解調(diào)。設(shè)計(jì)原理Simulink簡(jiǎn)介Simulink是MATLAB最重要的組件之一,它提供一個(gè)動(dòng)態(tài)系統(tǒng)建模、仿真和綜合分析的集成環(huán)境。在該環(huán)境中,無(wú)需大量書寫程序,而只需要通過(guò)簡(jiǎn)單直觀的鼠標(biāo)操作,就可構(gòu)造出復(fù)雜的系統(tǒng)。Simulink具有適應(yīng)面廣、結(jié)構(gòu)和流程清晰及仿真精細(xì)、貼近實(shí)際、效率高、靈活等優(yōu)點(diǎn),并基于以上優(yōu)點(diǎn)Simulink已被廣泛應(yīng)用于控制理論和數(shù)字信號(hào)處理的復(fù)雜仿真和設(shè)計(jì)。同時(shí)有大量的第三方軟件和硬件可應(yīng)用于或被要求應(yīng)用于Simulink。Simulink是MATLAB中的一種可視化仿真工具,是一種基于MATLAB的框圖設(shè)計(jì)環(huán)境,是實(shí)現(xiàn)動(dòng)態(tài)系統(tǒng)建模、仿真和分析的一個(gè)軟件包,被廣泛應(yīng)用于線性系統(tǒng)、非線性系統(tǒng)、數(shù)字控制及數(shù)字信號(hào)處理的建模和仿真中。Simulink可以用連續(xù)采樣時(shí)間、離散采樣時(shí)間或兩種混合的采樣時(shí)間進(jìn)行建模,它也支持多速率系統(tǒng),也就是系統(tǒng)中的不同局部具有不同的采樣速率。為了創(chuàng)立動(dòng)態(tài)系統(tǒng)模型,Simulink提供了一個(gè)建立模型方塊圖的圖形用戶接口(GUI),這個(gè)創(chuàng)立過(guò)程只需單擊和拖動(dòng)鼠標(biāo)操作就能完成,它提供了一種更快捷、直接明了的方式,而且用戶可以立即看到系統(tǒng)的仿真結(jié)果。Simulink是用于動(dòng)態(tài)系統(tǒng)和嵌入式系統(tǒng)的多領(lǐng)域仿真和基于模型的設(shè)計(jì)工具。對(duì)各種時(shí)變系統(tǒng),包括通訊、控制、信號(hào)處理、視頻處理和圖像處理系統(tǒng),Simulink提供了交互式圖形化環(huán)境和可定制模塊庫(kù)來(lái)對(duì)其進(jìn)行設(shè)計(jì)、仿真、執(zhí)行和測(cè)試。.構(gòu)架在Simulink根底之上的其他產(chǎn)品擴(kuò)展了Simulink多領(lǐng)域建模功能,也提供了用于設(shè)計(jì)、執(zhí)行、驗(yàn)證和確認(rèn)任務(wù)的相應(yīng)工具。Simulink與MATLAB緊密集成,可以直接訪問(wèn)MATLAB大量的工具來(lái)進(jìn)行算法研發(fā)、仿真的分析和可視化、批處理腳本的創(chuàng)立、建模環(huán)境的定制以及信號(hào)參數(shù)和測(cè)試數(shù)據(jù)的定義。QPSK星座圖QPSK是QuadraturePhaseShiftKeying的簡(jiǎn)稱,意為正交移相鍵控,是數(shù)字調(diào)制的一種方式。它規(guī)定了四種載波相位,分別為0,,,(或者,,,),星座圖如圖1〔a〕、〔b〕所示?!瞐〕〔b〔a〕〔b〕圖SEQFigure\*ARABIC1QPSK星座圖QPSK的調(diào)制因?yàn)檩斎胄畔⑹嵌M(jìn)制序列,所以需要將二進(jìn)制數(shù)據(jù)變換成四進(jìn)制數(shù)據(jù),才能和四進(jìn)制的載波相位配合起來(lái)。采取的方法是將二進(jìn)制數(shù)字序列中每?jī)蓚€(gè)序列分成一組,共四種組合〔00,01,10,11〕,每一組稱為雙比特碼元。每一個(gè)雙比特碼元是由兩位二進(jìn)制信息比特組成,它們分別代表四進(jìn)制四個(gè)符號(hào)中的一個(gè)符號(hào)。QPSK每次調(diào)制可傳輸兩個(gè)信息比特。圖2的〔a〕、(b)、(c)原理框圖即為QPSK的三種調(diào)制方式,本次課程設(shè)計(jì)主要采用的是正交調(diào)制方式.?!病瞐〕正交調(diào)制法〔〔b〕相位選擇法〔〔c〕脈沖插入法圖2QPSK的主要調(diào)制方式圖2QPSK的主要調(diào)制方式4.QPSK的解調(diào)QPSK信號(hào)可以用兩個(gè)正交的載波信號(hào)實(shí)現(xiàn)相干解調(diào),它的相干解調(diào)器如圖3所示,正交路分別設(shè)置兩個(gè)匹配濾波器,得到I〔t〕和Q〔t〕,經(jīng)電平判決和并轉(zhuǎn)串即可恢復(fù)出原始信息。圖3QPSK相干解調(diào)器圖3QPSK相干解調(diào)器設(shè)計(jì)步驟1.QPSK調(diào)制電路圖4QPSK調(diào)制仿真圖2.AWGN信道模型AWGN信道模塊可以將加性高斯白噪聲加到一個(gè)實(shí)數(shù)的或復(fù)數(shù)的輸入信號(hào)。當(dāng)輸入信號(hào)是實(shí)數(shù)時(shí),這個(gè)塊增加了實(shí)的高斯噪聲,產(chǎn)生一個(gè)實(shí)數(shù)的輸出信號(hào)。當(dāng)輸入信號(hào)是復(fù)數(shù)的,這個(gè)模塊增加了復(fù)數(shù)的高斯噪聲,產(chǎn)生復(fù)數(shù)的輸出信號(hào)。此模塊繼承它的輸入信號(hào)的采樣時(shí)間。由于輸入信號(hào)為連續(xù)的信號(hào),所以控制信道信噪比的方式選擇控制高斯噪聲標(biāo)準(zhǔn)差的方式器變量之間關(guān)系為:其中Es/No為信號(hào)能量比噪聲功率譜密度。3.QPSK解調(diào)電路根據(jù)圖3的方框圖搭建QPSK解調(diào)電路〔圖5〕:載波采用調(diào)制時(shí)的載波信號(hào),解調(diào)后的信號(hào)經(jīng)位定時(shí)后判決得到并行二進(jìn)制序列,再經(jīng)并轉(zhuǎn)串輸出二進(jìn)制序列。圖5QPSK解調(diào)仿真電路4.比特錯(cuò)誤率統(tǒng)計(jì)比特錯(cuò)誤率統(tǒng)計(jì)使用ErrorRateCalculation模塊,該模塊可自動(dòng)比擬發(fā)送序列與接收序列并作出比擬,進(jìn)行錯(cuò)誤統(tǒng)計(jì),使用display模塊顯示將比特錯(cuò)誤率輸出。由于采用buffer會(huì)產(chǎn)生時(shí)延,誤碼率較高,所以在設(shè)計(jì)時(shí)采用simulink里已有的QPSK調(diào)制模塊QPSKModulatorbaseband和解調(diào)模塊QPSKDemodulatorBaseband對(duì)信號(hào)進(jìn)行調(diào)制和解調(diào),信號(hào)源采用隨機(jī)信號(hào)源RandomIntegerGenerator,搭建出QPSK的調(diào)制解調(diào)仿真圖,如圖6所示。圖6QPSK系統(tǒng)框圖5.主要參數(shù)設(shè)計(jì)Randomintegergenerator參數(shù):M-arynumber—4;Sampletime0.000005;QPSKModulatorBaseband參數(shù):InputtypeInteger;Phaseoffsetpi/4;AWGN參數(shù):ModeVariancefrommask;Variance1;QPSKDemodulatorBaseband參數(shù):OutputtypeInteger;Phaseoffsetpi/4;ErrorRateCalculation參數(shù):Receivedelay0;Outputdataport;載波〔SineWave〕參數(shù):Frequency2*pi*30000000;Phase正弦0〔余弦pi/2〕;設(shè)計(jì)結(jié)果及分析1.信號(hào)調(diào)制解調(diào)后的時(shí)域波形圖由于仿真時(shí)示波器采樣時(shí)間過(guò)少時(shí)會(huì)造成波形失真,而信號(hào)頻率很高時(shí)仿真時(shí)間過(guò)長(zhǎng),所以采用數(shù)據(jù)低傳輸速率,載波也采用低頻信號(hào)進(jìn)行模擬仿真。如圖7。圖7QPSK調(diào)制時(shí)域波形圖從模擬仿真圖中可以看出QPSK調(diào)制過(guò)程產(chǎn)生了四種相位,與理論相符合。2.數(shù)據(jù)源的頻譜圖圖8數(shù)據(jù)源的頻譜圖3.QPSK調(diào)制后的頻譜圖9QPSK調(diào)制后的頻譜4.QPSK解調(diào)后的頻譜圖10QPSK解調(diào)后的頻譜上面調(diào)制解調(diào)結(jié)果顯示,完成QPSK信號(hào)在高斯通道上的調(diào)制,傳輸,解調(diào)過(guò)程,調(diào)制過(guò)程中采用上變頻進(jìn)行調(diào)制后再在高斯通道上傳輸,再通過(guò)下變頻解調(diào)出QPSK調(diào)制信號(hào),最后解調(diào)?!沧兞吭O(shè)置:信息速率80Hz,載波中心頻率15MHz,采樣頻率120MHz〕5.誤碼曲線圖本次課程設(shè)計(jì)主要采用matlab的bertool工具下的BitErrorRateAnalysisTool模塊進(jìn)行誤碼統(tǒng)計(jì)的,設(shè)置如圖11所示,該工具能夠直接繪制出Simulink仿真的誤碼曲線圖。得到的誤碼曲線圖如圖12所示。圖11bertool設(shè)置圖圖12高斯通道下的QPSK誤碼曲線圖QPSK的誤碼主要來(lái)源于高斯信道的噪聲干擾,以及信號(hào)的碼間串?dāng)_。其次由于位定時(shí)不準(zhǔn)確也會(huì)造成抽樣判決錯(cuò)誤,導(dǎo)致信號(hào)與原始信號(hào)不同,產(chǎn)生誤碼。體會(huì)這次課程設(shè)計(jì)讓我深刻體會(huì)到數(shù)字信號(hào)的QPSK調(diào)制及解調(diào)過(guò)程,利用Simulink專業(yè)庫(kù)CommunicationsBlockset中的Modulation模塊庫(kù)所提供的“QPSKModulatorBasebandQPSKDemodulatorBaseband等模塊實(shí)現(xiàn)QPSK的系統(tǒng)設(shè)計(jì),并輸出誤碼率,信道中的噪聲為高斯白噪聲。這幾周的課,不僅檢驗(yàn)了我所學(xué)的通信原理的根本知識(shí),同時(shí)讓我熟悉了Matlab的simulink仿真的一些根本操作。剛開始時(shí)連正弦信號(hào)在scope中顯示都很難做到,正弦信號(hào)的參數(shù)設(shè)計(jì)也是一大難題,但是經(jīng)過(guò)查閱資料,終于弄清楚最根本的模塊的用法。這次設(shè)計(jì)中遇到的最大的問(wèn)題是數(shù)據(jù)源的串轉(zhuǎn)并和并轉(zhuǎn)串模塊,此模塊花費(fèi)很多時(shí)間去設(shè)計(jì),最終設(shè)計(jì)出的串轉(zhuǎn)并和并轉(zhuǎn)串模塊雖不理想〔存在延時(shí)〕但是實(shí)現(xiàn)了數(shù)據(jù)的串轉(zhuǎn)并和并轉(zhuǎn)串。各個(gè)模塊參數(shù)的設(shè)計(jì)是設(shè)計(jì)中最難的一局部,因?yàn)橐粋€(gè)參數(shù)設(shè)計(jì)不對(duì)會(huì)導(dǎo)致結(jié)果錯(cuò)誤。最終經(jīng)過(guò)不斷的查找資料請(qǐng)教老師,終于按要求完成了Q

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論