在線網(wǎng)課知道《EDA技術(shù)與應(yīng)用(山東科大)》單元測試考核答案_第1頁
在線網(wǎng)課知道《EDA技術(shù)與應(yīng)用(山東科大)》單元測試考核答案_第2頁
在線網(wǎng)課知道《EDA技術(shù)與應(yīng)用(山東科大)》單元測試考核答案_第3頁
在線網(wǎng)課知道《EDA技術(shù)與應(yīng)用(山東科大)》單元測試考核答案_第4頁
在線網(wǎng)課知道《EDA技術(shù)與應(yīng)用(山東科大)》單元測試考核答案_第5頁
已閱讀5頁,還剩4頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

第一章單元測試第二章單元測試第三章單元測試第四章單元測試第五章單元測試第六章單元測試第七章單元測試第八章單元測試第九章單元測試第一章單元測試1.【多選題】(100分)正確答案:ABCD硬件描述語言主要有哪些?()A.VHDLB.VerilogC.AHDLD.SystemVerilog第二章單元測試1【單選題】(20分)下列不屬于VerilogHDL算數(shù)運算符的是()A.%B.+C.=D.-2【單選題】(20分)在verilog語言中,a=4b'1011,那么&a=()A.1b'1B.4b'1011C.4b'1111D.1b'03【單選題】(20分)5’b100112等于()A.5’b11100B.7’b0011100C.5’b00100D.7’b00100114【單選題】(20分){4{a}}等于()A.{a:a:a:a}B.{a;a;a;a}C.{a,a,a,a}D.{a}5【單選題】(20分)下列關(guān)于阻塞賦值運算(如b=a;)說法錯誤的是()A.賦值語句執(zhí)行完后,塊才結(jié)束B.b的值在賦值語句執(zhí)行完后立刻就改變的C.在沿觸發(fā)的always塊中使用時,綜合后可能會產(chǎn)生意想不到的結(jié)果D.在“always”模塊中的reg型信號都采用此賦值方式第三章單元測試1【判斷題】(20分假設(shè)design為TOP.v,頂層模塊名為TOP;TestBench為TOP_TB.v,頂層模塊名為TOP_TB,則完整寫出Modelsim仿真該設(shè)計所用命令為vlibmywork()A.錯B.對2.【多選題】(20分)正確答案:ABCD常見的數(shù)字仿真器有()A.IUSB.VCSC.IverilogD.Modelsim3【判斷題】(20分Modelsim中,為禁用其優(yōu)化,可以在命令行上添加-novopt選項。()A.對B.錯4【判斷題】(20分vsim仿真時,是從物理庫開始查找模塊。()A.錯B.對5【判斷題】(20分目前modelsim可以在包含中文的路徑中正常執(zhí)行。()A.對B.錯第四章單元測試1【單選題】(20分)EPF10K20TC144-4具有()個管腳A.不確定B.144C.15D.842【判斷題】(20分FPGA結(jié)構(gòu)一般分為三部分:可編程邏輯塊(CLB)、可編程I/O模塊和可編程內(nèi)部連線。()A.對B.錯3【判斷題】(20分FPGA基于SRAM結(jié)構(gòu),每次上電后需要一次配置。()A.錯B.對4【單選題】(20分)大規(guī)??删幊唐骷饕蠪PGA、CPLD兩類,其中CPLD通過()實現(xiàn)其邏輯功能。A.輸出緩沖B.可編程乘積項邏輯C.查找表(LUT)D.輸入緩沖5【單選題】(20分)CPLD的可編程是主要基于什么結(jié)構(gòu)()。A.ROM可編程B.查找表(LUT)C.與或陣列可編程D.PAL可編程第五章單元測試1【單選題】(20分)IP核在EDA技術(shù)和開發(fā)中具有十分重要的地位;提供用VHDL等硬件描述語言描述的功能塊,但不涉及實現(xiàn)該功能塊的具體電路的IP核為()A.硬IPB.固IPC.其余都對D.軟IP2【單選題】(20分)綜合是EDA設(shè)計流程的關(guān)鍵步驟,在下面對綜合的描述中,()是錯誤的。A.為實現(xiàn)系統(tǒng)的速度、面積、性能的要求,需要對綜合加以約束,稱為綜合約束。B.綜合就是把抽象設(shè)計層次中的一種表示轉(zhuǎn)化成另一種表示的過程。C.綜合可理解為,將軟件描述與給定的硬件結(jié)構(gòu)用電路網(wǎng)表文件表示的映射過程,并且這種映射關(guān)系是唯一的(即綜合結(jié)果是唯一的)。D.綜合就是將電路的高級語言轉(zhuǎn)化成低級的,可與FPGA/CPLD的基本結(jié)構(gòu)相映射的網(wǎng)表文件。3【單選題】(20分)基于EDA軟件的FPGA/CPLD設(shè)計流程為:原理圖/HDL文本輸入→()→綜合→適配→時序仿真→編程下載→硬件測試。A.時序仿真B.功能仿真C.邏輯綜合D.配置4【單選題】(20分)()是EDA設(shè)計流程的關(guān)鍵步驟。A.綜合B.適配C.設(shè)計輸入D.測試5【單選題】(20分)在EDA中,IP的中文含義是()A.沒有特定意義B.在系統(tǒng)編程C.知識產(chǎn)權(quán)核D.網(wǎng)絡(luò)供應(yīng)商第六章單元測試1.【多選題】(20分)正確答案:ABD仿真中,下述clk信號值變化,將觸發(fā)上升沿事件的有:()。A.01B.Z1C.XZD.0Z2【判斷題】(20分由nand門可構(gòu)建出所有數(shù)字邏輯。()A.對B.錯3【判斷題】(20分Latch是邊沿敏感,DFF是電平敏感。()A.對B.錯4【判斷題】(20分always@()語法中,敏感列表不完整,可能導致仿真與綜合結(jié)果不一致。()A.錯B.對5【判斷題】(20分DFF是Verilog語言的內(nèi)建原語,可以直接例化使用。()A.錯B.對第七章單元測試1【單選題】(20分)關(guān)于TestBench的描述,錯誤的是()A.TestBench使用硬件描述語言進行編寫,可被綜合成硬件電路B.TestBench功能包括為待測電路的輸入產(chǎn)生激勵C.TestBench既可以用來驗證電路的功能,也可以用來驗證電路的時序D.TestBench可以不含驗證待測電路輸出信號正確性的功能2【單選題】(20分)關(guān)于系統(tǒng)任務(wù)的說法,錯誤的是()A.$monitor可用來監(jiān)控信號的變化B.$display可用來輸出信號的即時數(shù)值C.$strobe用來顯示信號在當前時間槽的穩(wěn)定值D.$write用來將輸出信息打印到文件中3【判斷題】(20分vcd、fsdb、wlf等格式的文件都是波形文件。()A.錯B.對4【單選題】(20分)關(guān)于函數(shù)與任務(wù),描述錯誤的是()A.函數(shù)定義時不能包含輸出信號B.函數(shù)的定義中,不能包含任何時間控制類的語句C.任務(wù)只能在過程語句中被調(diào)用,不能作為賦值的結(jié)果D.函數(shù)可以嵌套調(diào)用函數(shù),而任務(wù)不能嵌套調(diào)用任務(wù)5【判斷題】(20分可以使用defpara或者parameter語句將某一變量定義為參數(shù)。()A.錯B.對第八章單元測試1【單選題】(20分)下面關(guān)于有限狀態(tài)機的說法,錯誤的是()A.mealy型有限狀態(tài)機的輸出信號與當前時刻的輸入有關(guān)B.有限狀態(tài)機可以與狀態(tài)轉(zhuǎn)移圖相互轉(zhuǎn)換C.有限狀態(tài)機只能是moore型有限狀態(tài)機或者mealy型有限狀態(tài)機中的一種D.moore型有限狀態(tài)機的輸入至少需要一個時鐘周期才影響輸出2【判斷題】(20分獨熱碼狀態(tài)編碼方式消耗更多位的寄存器資源,更易產(chǎn)生電路毛刺,使應(yīng)盡量避免使用。()A.對B.錯3【判斷題】(20分對于狀態(tài)較多的有限狀態(tài)機,使用格雷碼編碼方式相對二進制編碼方式可能會消耗更少的寄存器資源。()A.錯B.對4【單選題】(20分)關(guān)于有限狀態(tài)機三段式描述方法與二段式描述方法的區(qū)別,錯誤的()A.三段式描述方法將次態(tài)判斷與輸出邏輯進行了分離B.三段式描述方法實在二段式描述方法的基礎(chǔ)上增加了部分代碼C.三段式描述相對二段式描述方法,可以具有更快的輸出速度D.三段式描述方法與有限狀態(tài)機的邏輯抽象形式一致5【單選題】(20分)下面哪一項不是狀態(tài)轉(zhuǎn)移圖的組成元素()A.轉(zhuǎn)移方向B.狀態(tài)位置C.信號位寬D.狀態(tài)名稱第九章單元測試1【判斷題】(20分下面的代碼不會生成多余的鎖存器。moduletest(out1,a,b,c,sel);inputa,b,c;outputout1;input[1:0]sel;regout1;always@(aorborcorsel)beginif(sel==2'b10)out1=a;elseif(sel==2'b01)out1=b;elseif(sel==2'b11)out1=c;endendmodule()A.對B.錯2【判斷題】(20分下面的代碼不存在RTL與綜合后門級網(wǎng)表仿真不一致問題。modulecompare(equal,a,b);parametersize=1;outputequal;input[size-1:0]a,b;regequal;always@(*)beginequal=(a==b);endendmodule()A.對B.錯3【判斷題】(20分下面的代碼,會生成級聯(lián)電路。moduletest(SEL,A,B,C

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論