2013年7月自考02325計(jì)算機(jī)結(jié)構(gòu)系統(tǒng)試題及答案含解析_第1頁(yè)
2013年7月自考02325計(jì)算機(jī)結(jié)構(gòu)系統(tǒng)試題及答案含解析_第2頁(yè)
2013年7月自考02325計(jì)算機(jī)結(jié)構(gòu)系統(tǒng)試題及答案含解析_第3頁(yè)
2013年7月自考02325計(jì)算機(jī)結(jié)構(gòu)系統(tǒng)試題及答案含解析_第4頁(yè)
2013年7月自考02325計(jì)算機(jī)結(jié)構(gòu)系統(tǒng)試題及答案含解析_第5頁(yè)
免費(fèi)預(yù)覽已結(jié)束,剩余4頁(yè)可下載查看

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)年月真題

0232520137

1、【單選題】下列各項(xiàng)中能夠直接執(zhí)行微指令的是

匯編程序

編譯程序

A:

硬件

B:

固件

C:

答D:案:C

解析:參考1.1中圖1-1計(jì)算機(jī)系統(tǒng)的多級(jí)層次結(jié)構(gòu)中,最下層微程序機(jī)器級(jí),微指令由

硬件直接執(zhí)行。

2、【單選題】下列各項(xiàng)中對(duì)應(yīng)用程序員不透明的是

先行進(jìn)位鏈

乘法器

A:

指令緩沖器

B:

條件碼寄存器

C:

答D:案:A

解析:進(jìn)位鏈?zhǔn)莻鬟f進(jìn)位的邏輯電路。先行進(jìn)位即高位進(jìn)位和低位進(jìn)位同時(shí)產(chǎn)生的進(jìn)位。

先行進(jìn)位有兩種,一種是組內(nèi)并行,組間串行進(jìn)位鏈,另一種是組內(nèi)并行,組間并行進(jìn)位

鏈,又稱并行進(jìn)位。因此,應(yīng)用程序員在編寫(xiě)程序時(shí)要考慮超出范圍即溢出時(shí)如何計(jì)算,

這時(shí)要根據(jù)先行進(jìn)位鏈的設(shè)計(jì)來(lái)決定,因此不透明。其他對(duì)應(yīng)用程序員都透明,也就是程

序員管不到,也看不見(jiàn)。

3、【單選題】在相同的機(jī)器字長(zhǎng)和尾數(shù)位數(shù)的情況下,浮點(diǎn)數(shù)尾數(shù)基值取小,可使浮點(diǎn)數(shù)

運(yùn)算過(guò)程中數(shù)的精度損失降低

數(shù)在數(shù)軸上的分布變密

A:

可表示數(shù)的范圍增大

B:

可表示數(shù)的個(gè)數(shù)增多

C:

答D:案:B

解析:

浮點(diǎn)數(shù)的一般格式如下:浮點(diǎn)數(shù)可表示實(shí)數(shù)域中的值范圍如下所示:

浮點(diǎn)數(shù)尾數(shù)基值取小,意味著圖中的rm取小,即進(jìn)制變小,那么1)可表

示的范圍變小,C錯(cuò)誤2)可表示的個(gè)數(shù)變少,D錯(cuò)誤3)數(shù)在軸上的分布變得緊密,B正

確4)可表示的精度更高,A錯(cuò)誤5)運(yùn)算中的精度損失越高6)運(yùn)算速度變低。對(duì)應(yīng)教材

2.1.4節(jié),建議找一個(gè)例子,如16進(jìn)制和2進(jìn)制,進(jìn)行對(duì)比分析,比較容易理解。

4、【單選題】IBM370的I/O處理機(jī)采用的方式是

程序控制I/O方式

DMA方式

A:

外圍處理機(jī)方式

B:

通道方式

C:

答D:案:D

解析:通道處理機(jī)是IBM公司首先提出來(lái)的一種I/O處理機(jī)方式,曾被廣泛用于

IBM360/370等系列機(jī)上。參考3.4.2節(jié)

5、【單選題】磁盤(pán)外部設(shè)備適合連接于

數(shù)組多路通道或選擇通道

字節(jié)多路通道或選擇通道

A:

數(shù)組多路通道或字節(jié)多路通道

B:

字節(jié)多路、數(shù)組多路通道或選擇通道

C:

答D:案:A

解析:一個(gè)設(shè)備控制器可控制多個(gè)同類(lèi)的設(shè)備,只要這些設(shè)備是輪流正作的。通道處理器

中只運(yùn)行輸入輸出控制程序。每個(gè)通道可以連接多個(gè)外圍設(shè)備,根據(jù)數(shù)據(jù)傳送方式,通道

可分成字節(jié)多路通道、選擇通道和數(shù)組多路通道三種類(lèi)型。1)選擇通道對(duì)于高速的設(shè)備,

如磁盤(pán)等,要求較高的數(shù)據(jù)傳輸速度。對(duì)于這種高速傳輸,通道難以同時(shí)對(duì)多個(gè)這樣的設(shè)

備進(jìn)行操作,只能一次對(duì)一個(gè)設(shè)備進(jìn)行操作。這種通道稱為選擇通道,它與設(shè)備之間的傳

輸一直維持到設(shè)備請(qǐng)求的傳輸完成為止,然后為其他外圍設(shè)備傳輸數(shù)據(jù)。選擇通道的數(shù)據(jù)

寬度是可變的,通道中包含一個(gè)保存輸入輸出數(shù)據(jù)傳輸所需的參數(shù)寄存器。參數(shù)寄存器包

括存放下一個(gè)主存?zhèn)鬏敂?shù)據(jù)存放位置的地址和對(duì)傳輸數(shù)據(jù)計(jì)數(shù)的寄存器。選擇通道的輸入

輸出操作啟動(dòng)之后,該通道就專(zhuān)門(mén)用于該設(shè)備的數(shù)據(jù)傳輸直到操作完成。選擇通道的缺點(diǎn)

是設(shè)備申請(qǐng)使用通道的等待時(shí)間較長(zhǎng)。2)數(shù)組多路通道(又稱成組多路通道)數(shù)組多路通

道以數(shù)組(數(shù)據(jù)塊)為單位在若干高速傳輸操作之間進(jìn)行交叉復(fù)用。這樣可減少外設(shè)申請(qǐng)使

用通道時(shí)的等待時(shí)間。數(shù)組多路通道適用于高速外圍設(shè)備,這些設(shè)備的數(shù)據(jù)傳輸以塊為單

位。通道用塊交叉的方法,輪流為多個(gè)外設(shè)服務(wù)。當(dāng)同時(shí)為多臺(tái)外設(shè)傳送數(shù)據(jù)時(shí),每傳送

完一塊數(shù)據(jù)后選擇下一個(gè)外設(shè)進(jìn)行數(shù)據(jù)傳送,使多路傳輸并行進(jìn)行。數(shù)組多路通道既保留

了選擇通道高速傳輸?shù)膬?yōu)點(diǎn),又充分利用了控制性操作的時(shí)間間隔為其它設(shè)備服務(wù),使通

道的功能得到有效發(fā)揮,因此數(shù)組多路通道在實(shí)際系統(tǒng)中得到較多的的應(yīng)用。特別是對(duì)于

磁盤(pán)和磁帶等一些塊設(shè)備,它們的數(shù)據(jù)傳輸本來(lái)就是按塊進(jìn)行的。而在傳輸操作之前又需

要尋找記錄的位置,在尋找的期間讓通道等待是不合理的。數(shù)組多路通道可以先向一個(gè)設(shè)

備發(fā)出一個(gè)尋找的命令,然后在這個(gè)設(shè)備尋找期間為其他設(shè)備服務(wù)。在設(shè)備尋找完成后才

真正建立數(shù)據(jù)連接,并一直維持到數(shù)據(jù)傳輸完畢。因此采用數(shù)組多路通道可提高通道的數(shù)

據(jù)傳輸?shù)耐掏侣省?)字節(jié)多路通道字節(jié)多路通道用于連接多個(gè)慢速的和中速的設(shè)備,這些

設(shè)備的數(shù)據(jù)傳送以字節(jié)為單位。每傳送一個(gè)字節(jié)要等待較長(zhǎng)時(shí)間,如終端設(shè)備等。因此,

通道可以以字節(jié)交叉方式輪流為多個(gè)外設(shè)服務(wù),以提高通道的利用率。這種通道的數(shù)據(jù)寬

度一般為單字節(jié)。它的操作模式有兩種:字節(jié)交叉模式和猝發(fā)模式。在字節(jié)交叉模式中,

通道操作分成較短的段。通道向準(zhǔn)備就緒的設(shè)備進(jìn)行數(shù)據(jù)段的傳輸操作。傳輸?shù)男畔⒖捎?/p>

一個(gè)字節(jié)的數(shù)據(jù)以及控制和狀態(tài)信息構(gòu)成。通道與設(shè)備的連接時(shí)間是很短的。如果需要傳

輸?shù)臄?shù)據(jù)量比較大,則通道轉(zhuǎn)換成猝發(fā)的工作模式。在猝發(fā)模式下,通道與設(shè)備之間的傳

輸一直維持到設(shè)備請(qǐng)求的傳輸完成為止。通道使用一種超時(shí)機(jī)制判斷設(shè)備的操作時(shí)間(即

邏輯連接時(shí)間),并決定采用哪一種模式。如果設(shè)備請(qǐng)求的邏輯連接時(shí)間大于某個(gè)額定的

值,通道就轉(zhuǎn)換成猝發(fā)模式,否則就以字節(jié)交叉模式工作。字節(jié)多路通道和數(shù)組多路通道

都是多路通道,在一段時(shí)間內(nèi)可以交替地執(zhí)行多個(gè)設(shè)備的通道程序,使這些設(shè)備同時(shí)工

作。但兩者也有區(qū)別,首先數(shù)組多路通道允許多個(gè)設(shè)備同時(shí)工作,但只允許一個(gè)設(shè)備進(jìn)行

傳輸型操作,而其他設(shè)備進(jìn)行控制型操作;而字節(jié)多路通道不僅允許多個(gè)路同時(shí)操作;而

且允許它們同時(shí)進(jìn)行傳輸型操作。其次,數(shù)組多路通道與設(shè)備之間的數(shù)據(jù)傳送的基本單位

是數(shù)據(jù)塊,通道必須為一個(gè)設(shè)備傳送完一個(gè)數(shù)據(jù)塊以后才能為別的設(shè)備傳送數(shù)據(jù)塊,而字

節(jié)多路通道與設(shè)備之間的數(shù)據(jù)傳送基本單位是字節(jié)。通道為一個(gè)設(shè)備傳送一個(gè)字節(jié)之后,

又可以為另一個(gè)設(shè)備傳送一個(gè)字節(jié),因此各設(shè)備與通道之間的數(shù)據(jù)傳送是以字節(jié)為單位交

替進(jìn)行的。

6、【單選題】Cache存儲(chǔ)器應(yīng)用最廣泛的地址映像方式是

全相聯(lián)映像

直接映像

A:

組相聯(lián)映像

B:

段頁(yè)表映像

C:

答D:案:C

解析:Cache地址映像方式有:全相聯(lián)、直接相聯(lián)、組相聯(lián)全相聯(lián)硬件成本太高太復(fù)雜,

直接相聯(lián)簡(jiǎn)單但沖突很大,實(shí)際Cache都采用多路組相聯(lián)方式。磁盤(pán)到主存的地址映像方

式有:頁(yè)式、段式、段頁(yè)式

7、【單選題】下列關(guān)于標(biāo)量流水機(jī)的說(shuō)明不正確的是

可對(duì)標(biāo)量數(shù)據(jù)進(jìn)行流水處理

不能對(duì)向量數(shù)據(jù)進(jìn)行運(yùn)算

A:

沒(méi)有向量數(shù)據(jù)表示

B:

C:

可以對(duì)向量、數(shù)組進(jìn)行運(yùn)算

答D:案:B

解析:標(biāo)量流水機(jī):超標(biāo)量將一條指令分成若干個(gè)周期處理以達(dá)到多條指令重疊處理,從而

提高cpu部件利用率的技術(shù)??梢杂糜谙蛄繑?shù)據(jù)的表示和流水處理以及標(biāo)量、數(shù)組的運(yùn)

算。

8、【單選題】多混洗交換網(wǎng)絡(luò)又稱為

移數(shù)網(wǎng)絡(luò)

STARAN網(wǎng)絡(luò)

A:

數(shù)據(jù)交換網(wǎng)絡(luò)

B:

omega網(wǎng)絡(luò)

C:

答D:案:D

解析:omega網(wǎng)絡(luò)稱為多混洗交換網(wǎng)絡(luò);STARAN網(wǎng)絡(luò)多級(jí)立方體網(wǎng)絡(luò)

9、【單選題】在共享主存的多處理機(jī)中,為減少訪主存沖突,一般采用的方式是

并行多體交叉主存系統(tǒng)

虛擬存儲(chǔ)器

A:

共享Cache存儲(chǔ)器

B:

高速單主存系統(tǒng)

C:

答D:案:A

解析:使用并行多體交叉主存系統(tǒng)可以更好的提高主存訪問(wèn)速度,也能滿足多個(gè)處理機(jī)并

行訪問(wèn)主存。而B(niǎo)、C、D是一些優(yōu)化技術(shù),但對(duì)于多處理機(jī)沒(méi)有專(zhuān)門(mén)優(yōu)化。

10、【單選題】IBM360/91屬于

向量流水機(jī)

標(biāo)量流水機(jī)

A:

陣列流水機(jī)

B:

并行流水機(jī)

C:

答D:案:B

解析:參考5.2.3標(biāo)量流水機(jī)中圖5-26,屬于典型的標(biāo)量流水線

11、【問(wèn)答題】實(shí)現(xiàn)軟件移植的主要途徑有統(tǒng)一高級(jí)語(yǔ)言、系列機(jī)、______和______。

答案:模擬、仿真

解析:實(shí)現(xiàn)軟件移植的主要途徑有1)統(tǒng)一高級(jí)語(yǔ)言2)系列機(jī)3)模擬、仿真參考1.4.1

節(jié)

12、【問(wèn)答題】計(jì)算機(jī)系統(tǒng)層次結(jié)構(gòu)中各機(jī)器級(jí)的實(shí)現(xiàn)主要靠______或______,或者是這兩

者的結(jié)合。

答案:翻譯、解釋

解析:所謂解釋程序是高級(jí)語(yǔ)言翻譯程序的一種,它將源語(yǔ)言(如BASIC)書(shū)寫(xiě)的源程序作

為輸入,解釋一句后就提交計(jì)算機(jī)執(zhí)行一句,并不形成目標(biāo)程序。就像外語(yǔ)翻譯中的“口

譯”一樣,說(shuō)一句翻一句,不產(chǎn)生全文的翻譯文本。這種工作方式非常適合于人通過(guò)終端

設(shè)備與計(jì)算機(jī)會(huì)話,如在終端上打一條命令或語(yǔ)句,解釋程序就立即將此語(yǔ)句解釋成一條

或幾條指令并提交硬件立即執(zhí)行且將執(zhí)行結(jié)果反映到終端,從終端把命令打入后,就能立

即得到計(jì)算結(jié)果。這的確是很方便的,很適合于一些小型機(jī)的計(jì)算問(wèn)題。但解釋程序執(zhí)行

速度很慢,例如源程序中出現(xiàn)循環(huán),則解釋程序也重復(fù)地解釋并提交執(zhí)行這一組語(yǔ)句,這

就造成很大浪費(fèi)。翻譯是把高級(jí)語(yǔ)言(如FORTRAN、COBOL、Pascal、C等)源程序作為輸

入,進(jìn)行翻譯轉(zhuǎn)換,產(chǎn)生出機(jī)器語(yǔ)言的目標(biāo)程序,然后再讓計(jì)算機(jī)去執(zhí)行這個(gè)目標(biāo)程序,

得到計(jì)算結(jié)果。翻譯程序工作時(shí),先分析,后綜合,從而得到目標(biāo)程序。所謂分析,是指

詞法分析和語(yǔ)法分析;所謂綜合是指代碼優(yōu)化,存儲(chǔ)分配和代碼生成。為了完成這些分析

綜合任務(wù),編譯程序采用對(duì)源程序進(jìn)行多次掃描的辦法,每次掃描集中完成一項(xiàng)或幾項(xiàng)任

務(wù),也有一項(xiàng)任務(wù)分散到幾次掃描去完成的。下面舉一個(gè)四遍掃描的例子:第一遍掃描做

詞法分析;第二遍掃描做語(yǔ)法分析;第三遍掃描做代碼優(yōu)化和存儲(chǔ)分配;第四遍掃描做代

碼生成。

13、【問(wèn)答題】數(shù)據(jù)結(jié)構(gòu)要通過(guò)______變換成機(jī)器所具有的各種數(shù)據(jù)表示實(shí)現(xiàn),數(shù)據(jù)表示是

數(shù)據(jù)結(jié)構(gòu)的______。

答案:軟件映像、實(shí)現(xiàn)

解析:數(shù)據(jù)結(jié)構(gòu)要通過(guò)軟件映像,變換成計(jì)算機(jī)中所具有的各種數(shù)據(jù)表示來(lái)實(shí)現(xiàn)的。參考

2.1.1節(jié)

14、【問(wèn)答題】尋址方式在指令中的兩種指明方式是______指明和______指明。

答案:占用操作碼中的某些位來(lái)、在地址碼部分專(zhuān)門(mén)設(shè)置尋址方式位字段

解析:一種方式是占用操作碼中的某些位來(lái)指明。另一種方式是不占用操作碼,而是在地

址碼部分專(zhuān)門(mén)設(shè)置選址方式位字段指明。

15、【問(wèn)答題】總線通信中,采用何種數(shù)據(jù)寬度與總線上各設(shè)備的特點(diǎn)、所用總線______和

______有關(guān)。

答案:控制方式、通信技術(shù)

解析:數(shù)據(jù)通路寬度是數(shù)據(jù)總線的物理寬度,即一個(gè)時(shí)鐘周期所傳送的信息量。采用何種

數(shù)據(jù)寬度,與總線上各設(shè)備的特點(diǎn)、所用總線控制方式和通信技術(shù)有關(guān)。參考3.3.4(1)

節(jié)

16、【問(wèn)答題】Cache存儲(chǔ)器不但對(duì)______程序員是透明的,對(duì)______程序員也是透明的。

答案:應(yīng)用、系統(tǒng)

解析:Cache存儲(chǔ)器由硬件來(lái)進(jìn)行管理,對(duì)所有程序員都是透明的。

17、【問(wèn)答題】頁(yè)面替換算法的確定主要看主存是否有高的______,也要看______是否便于

實(shí)現(xiàn),輔助軟硬件成本是否低。

答案:命中率、算法

解析:要保證較高命中率才能保障系統(tǒng)效率,同時(shí)要盡量讓算法實(shí)現(xiàn)成本或代價(jià)較低。

18、【問(wèn)答題】解決指令k和k+l重疊方式中操作數(shù)相關(guān)的兩種基本方法是:______和

______。

答案:加入停頓周期(加入氣泡Stall,推后K+1),定向技術(shù)(增加定向路徑,

bypass)

解析:(1)有操作數(shù)相關(guān),就加入停頓周期(加入氣泡Stall,推后K+1),這樣會(huì)造成

性能損失(2)有操作數(shù)相關(guān),可以通過(guò)增加定向路徑,將產(chǎn)生的數(shù)據(jù)直接送到需要處來(lái)

解決。

19、【問(wèn)答題】消除流水線瓶頸的方法有______和______兩種。

答案:細(xì)分瓶頸段,重復(fù)設(shè)置瓶頸段

解析:

20、【問(wèn)答題】不同的多級(jí)互連網(wǎng)絡(luò),在所用的交換開(kāi)關(guān)、______和______上各有不同。

答案:控制方式、拓?fù)浣Y(jié)構(gòu)

解析:互連網(wǎng)絡(luò)具有三大要素,即結(jié)點(diǎn)間互連拓?fù)洌òB接通路)、開(kāi)關(guān)元件和控制方

式。在不同的系統(tǒng)中,開(kāi)關(guān)元件所處的物理位置可能是不同的。在采用集中式結(jié)構(gòu)的系統(tǒng)

中,互連網(wǎng)絡(luò)可以是一個(gè)獨(dú)立的部件,由一組開(kāi)關(guān)元件構(gòu)成,位于被連接的處理機(jī)或功能

部件結(jié)點(diǎn)之間,在一定的控制方式作用下,按照互連拓?fù)涞囊蠼⒔Y(jié)點(diǎn)間的各條連接通

路,以實(shí)現(xiàn)各個(gè)結(jié)點(diǎn)對(duì)的相互通信。

21、【問(wèn)答題】簡(jiǎn)述軟件移植采用統(tǒng)一高級(jí)語(yǔ)言途徑的方法和存在的問(wèn)題。

答案:(1)不同的用途要求語(yǔ)言的語(yǔ)法語(yǔ)義的結(jié)構(gòu)不同(2)人們對(duì)基本語(yǔ)言的結(jié)構(gòu)看法

不一(3)即使同一種高級(jí)語(yǔ)言在不同廠家的機(jī)器上也不能完全通用(4)受習(xí)慣視力的

阻撓人們不愿意拋棄慣用的語(yǔ)言因?yàn)槭煜び薪?jīng)驗(yàn)也不愿意拋棄長(zhǎng)期積累的用原有的編寫(xiě)

已被實(shí)踐證明是正確的軟件

22、【問(wèn)答題】簡(jiǎn)述設(shè)計(jì)RISC應(yīng)遵循的一般原則。

答案:RISC(精簡(jiǎn)指令集計(jì)算機(jī))遵循的一般原則包括:(1)確定指令系統(tǒng)時(shí),只選擇使

用頻度很高的那些指令,在此基礎(chǔ)上增加少量能有效支持操作系統(tǒng)和高級(jí)語(yǔ)言實(shí)現(xiàn)及其他

功能的最有用的指令,讓指令的條數(shù)大大減少,一般不超過(guò)100條。(2)大大減少指令系

統(tǒng)可采用的尋址方式的種類(lèi),一般不超過(guò)兩種。簡(jiǎn)化指令的格式,使之也限制在兩種之

內(nèi),并讓全部指令都具有相同的長(zhǎng)度。(3)讓所有指令都在一個(gè)機(jī)器周期內(nèi)完成。(4)擴(kuò)

大通用寄存器的個(gè)數(shù),一般不少于32個(gè)寄存器,以盡可能減少訪存操作,所有指令中只

有存(STORE)、取(LOAD)指令才可訪存,其他指令的操作一律都在寄存器間進(jìn)行。(5)為提

高指令執(zhí)行速度,大多數(shù)指令都采用硬聯(lián)控制實(shí)現(xiàn),少數(shù)指令采用微程序?qū)崿F(xiàn)。(6)通

過(guò)精簡(jiǎn)指令和優(yōu)化設(shè)計(jì)編譯程序,以簡(jiǎn)單有效的方式來(lái)支持高級(jí)語(yǔ)言的實(shí)現(xiàn)。

23、【問(wèn)答題】簡(jiǎn)述集中式定時(shí)查詢方式的總線分配過(guò)程。

答案:

24、【問(wèn)答題】簡(jiǎn)述陣列處理機(jī)和多處理機(jī)在程序并行性方面的差別。

答案:(1)陣列處理機(jī)主要是針對(duì)向量、數(shù)組處理,實(shí)現(xiàn)向量指令操作級(jí)的并行,是開(kāi)發(fā)

并行性中的同時(shí)性。多處理機(jī)實(shí)現(xiàn)的則是更高一級(jí)的作業(yè)或任務(wù)間的并行,是開(kāi)發(fā)并行性

中的并發(fā)性。(2)程序并行性方面不同:陣列處理機(jī)是操作級(jí)并行,是并行性的同時(shí)性;

多處理機(jī)是作業(yè)、程序、任務(wù)級(jí)的并行,同時(shí)包含指令內(nèi)部操作間的并行,是并行性的并

發(fā)性。

25、【問(wèn)答題】簡(jiǎn)述數(shù)據(jù)流計(jì)算機(jī)存在的問(wèn)題。

答案:(1)數(shù)據(jù)流機(jī)主要目的是為了提高操作級(jí)并行的開(kāi)發(fā)水平,但如果題目本身數(shù)據(jù)相

關(guān)性很強(qiáng),內(nèi)含并行性成分不多時(shí),就會(huì)導(dǎo)致數(shù)據(jù)流機(jī)的效率反而不如傳統(tǒng)的VonNeumann

型機(jī)器的高。(2)在數(shù)據(jù)流機(jī)器中為了給數(shù)據(jù)建立標(biāo)記并識(shí)別和處理該標(biāo)記,需要花費(fèi)較

多的輔助開(kāi)銷(xiāo)和較大的存貯空間(可能比Neumann型的要大出2至3倍)。(3)數(shù)據(jù)流機(jī)

不保存數(shù)組。(4)數(shù)據(jù)流語(yǔ)言的變量代表數(shù)值而不是存貯單元位置,使程序員無(wú)法控制

存貯分配。為了能有效地回收不用的存貯單元,就增大了編譯程序設(shè)計(jì)的難度。(5)專(zhuān)門(mén)

適合于數(shù)據(jù)流機(jī)用的互連網(wǎng)絡(luò)的設(shè)計(jì)較困難,而且,對(duì)數(shù)據(jù)流機(jī)的輸入/輸出尚待完善。

(6)數(shù)據(jù)流機(jī)沒(méi)有程序計(jì)數(shù)器,給診斷和維護(hù)帶來(lái)困難。因此,數(shù)據(jù)流計(jì)算機(jī)難以批量生

產(chǎn),仍需進(jìn)一步改進(jìn)。參考8.1.4節(jié)

26、【問(wèn)答題】若機(jī)器共有5級(jí)中斷,中斷響應(yīng)優(yōu)先次序?yàn)?→2→3→4→5,現(xiàn)要求其實(shí)際

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論