電工電子技術(shù)基礎(chǔ) 第4版 課件 申鳳琴 第7、8章 數(shù)字電路基礎(chǔ)及組合邏輯電路、時(shí)序邏輯電路_第1頁(yè)
電工電子技術(shù)基礎(chǔ) 第4版 課件 申鳳琴 第7、8章 數(shù)字電路基礎(chǔ)及組合邏輯電路、時(shí)序邏輯電路_第2頁(yè)
電工電子技術(shù)基礎(chǔ) 第4版 課件 申鳳琴 第7、8章 數(shù)字電路基礎(chǔ)及組合邏輯電路、時(shí)序邏輯電路_第3頁(yè)
電工電子技術(shù)基礎(chǔ) 第4版 課件 申鳳琴 第7、8章 數(shù)字電路基礎(chǔ)及組合邏輯電路、時(shí)序邏輯電路_第4頁(yè)
電工電子技術(shù)基礎(chǔ) 第4版 課件 申鳳琴 第7、8章 數(shù)字電路基礎(chǔ)及組合邏輯電路、時(shí)序邏輯電路_第5頁(yè)
已閱讀5頁(yè),還剩102頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第七章數(shù)字電路基礎(chǔ)及組合邏輯電路

第一節(jié)數(shù)字電路基礎(chǔ)

第二節(jié)門(mén)電路第三節(jié)常用集成組合邏輯電路返回主目錄第一節(jié)數(shù)字電路基礎(chǔ)一、數(shù)字電路的概念及應(yīng)用1.?dāng)?shù)字信號(hào)的概念

電信號(hào)分類(lèi):模擬信號(hào)、數(shù)字信號(hào)。

一切非正弦的、帶有突變特點(diǎn)的波形,統(tǒng)稱(chēng)為脈沖。圖8-1幾種常見(jiàn)的脈沖波形

數(shù)字電路處理的信號(hào)多是矩形脈沖,這種信號(hào)常用二值量信息表示,即用邏輯信號(hào)1和0來(lái)表示信號(hào)的狀態(tài)(高電平或低電平),我們所講的數(shù)字信號(hào),通常都是指這種信號(hào)。高電平1低電平02.?dāng)?shù)字電路的概念電子電路分為模擬電路和數(shù)字電路。數(shù)字電路的主要特點(diǎn):(1)采用二進(jìn)制數(shù),抗干擾能力強(qiáng)。(2)不僅能完成數(shù)值運(yùn)算,而且能進(jìn)行邏輯判斷和邏輯運(yùn)算。(3)分析方法不同于模擬電路。數(shù)字電路也有一定的局限性,因此,往往把數(shù)字電路和模擬電路結(jié)合起來(lái),組成一個(gè)完整的電子系統(tǒng)。

3.?dāng)?shù)字電路的應(yīng)用數(shù)字電路的應(yīng)用十分廣泛,它已廣泛應(yīng)用于數(shù)字通訊、自動(dòng)控制、數(shù)字測(cè)量?jī)x表、家用電器、電子計(jì)算機(jī)等各個(gè)領(lǐng)域。二、數(shù)制和碼制1.?dāng)?shù)的表示方法(1)十進(jìn)制數(shù)十進(jìn)制數(shù)是最常用的計(jì)數(shù)體制,十進(jìn)制數(shù)的特點(diǎn)是:

1)基數(shù)是10。2)計(jì)數(shù)規(guī)律是“逢十進(jìn)一”。每一數(shù)碼處于不同的位置時(shí),它代表的數(shù)值是不同的,即不同的數(shù)位有不同的位權(quán)。例如,

(2)二進(jìn)制數(shù)二進(jìn)制數(shù)的特點(diǎn):

1)基數(shù)是2。采用兩個(gè)數(shù)碼0和1。

2)計(jì)數(shù)規(guī)律是“逢二進(jìn)一”。二進(jìn)制的各位位權(quán)分別為20、21、22…。

二進(jìn)制數(shù)表示的數(shù)值也等于其各位加權(quán)系數(shù)之和。例:…例:

(3)十六進(jìn)制數(shù)十六進(jìn)制數(shù)的基數(shù)是16,采用16個(gè)數(shù)碼:0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F,其中10~15分別用A~F表示。十六進(jìn)制數(shù)的計(jì)數(shù)規(guī)律是“逢十六進(jìn)一”,各位的位權(quán)是16的冪。

(4)二進(jìn)制數(shù)與十六進(jìn)制數(shù)的相互轉(zhuǎn)換

1)將二進(jìn)制正整數(shù)轉(zhuǎn)換為十六進(jìn)制數(shù)將二進(jìn)制數(shù)從最低位開(kāi)始,每4位分為一組(最高位可以補(bǔ)0),每組都轉(zhuǎn)換為1位相應(yīng)的十六進(jìn)制數(shù)數(shù)碼即可。例7-1解將二進(jìn)制數(shù)轉(zhuǎn)換成十六進(jìn)制數(shù)。

二進(jìn)制數(shù)0100

1011

十六進(jìn)制數(shù)4B即

2)將十六進(jìn)制正整數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)。將十六進(jìn)制數(shù)的每一位轉(zhuǎn)換為相應(yīng)的4位二進(jìn)制數(shù)即可。例7-2解

將轉(zhuǎn)換為二進(jìn)制數(shù)。

十六進(jìn)制數(shù)4B

二進(jìn)制數(shù)01001011即(最高位為0可舍去)

2.常用編碼建立代碼與文字、符號(hào)或特定對(duì)象之間的一一對(duì)應(yīng)的關(guān)系稱(chēng)為編碼。

(1)二-十進(jìn)制碼指用十個(gè)四位二進(jìn)制數(shù)來(lái)分別表示十進(jìn)制數(shù)中的0~9十個(gè)數(shù)碼,簡(jiǎn)稱(chēng)BCD碼。

BCD碼的編碼方式有很多種,一般分有權(quán)碼和無(wú)權(quán)碼。

8421BCD碼是一種最基本的,應(yīng)用十分普遍的BCD碼,它是一種有權(quán)碼,8421就是指編碼中各位的位權(quán)分別是8、4、2、1。

(2)二-十進(jìn)制數(shù)將十進(jìn)制數(shù)的每一位分別用4位二進(jìn)制碼表示出來(lái),所構(gòu)成的數(shù)稱(chēng)為二-十進(jìn)制數(shù)。

例三、邏輯代數(shù)

1.基本邏輯關(guān)系(1)邏輯變量自然界中,許多現(xiàn)象都存在著對(duì)立的兩種狀態(tài),為了描述這種相互對(duì)立的狀態(tài),往往采用僅有兩個(gè)取值的變量來(lái)表示,這種二值變量就稱(chēng)為邏輯變量。邏輯變量可以用字母A、B、C、…X、Y、Z等來(lái)表示,但邏輯變量只有兩個(gè)不同的取值,分別是邏輯0和邏輯1。

(2)基本的邏輯關(guān)系及其運(yùn)算所謂邏輯關(guān)系是指一定的因果關(guān)系,即條件和結(jié)果的關(guān)系?;镜倪壿嬯P(guān)系只有“與”、“或”、“非”三種。

1)與邏輯和與運(yùn)算只有當(dāng)決定某一種結(jié)果的所有條件都具備時(shí),這個(gè)結(jié)果才能發(fā)生,這種邏輯關(guān)系稱(chēng)為與邏輯關(guān)系,簡(jiǎn)稱(chēng)與邏輯。例如,把兩只開(kāi)關(guān)和一只燈泡串聯(lián)接到電源上,只有當(dāng)兩只開(kāi)關(guān)都閉合時(shí),燈泡才能亮,只要有一個(gè)開(kāi)關(guān)斷開(kāi),燈就滅。因此燈亮和開(kāi)關(guān)的接通是與邏輯關(guān)系,可以用邏輯代數(shù)中的與運(yùn)算表示(用Y代表燈泡的狀態(tài)、用A、B分別代表兩只開(kāi)關(guān)的狀態(tài)),與運(yùn)算又稱(chēng)邏輯乘,記作Y=A·B或Y=AB2)或邏輯和或運(yùn)算當(dāng)決定某一結(jié)果的幾個(gè)條件中,只要有一個(gè)或一個(gè)以上的條件具備,結(jié)果就發(fā)生,這種邏輯關(guān)系,就稱(chēng)為或邏輯關(guān)系,簡(jiǎn)稱(chēng)或邏輯。例如,把兩只開(kāi)關(guān)并聯(lián)再和一只燈泡串聯(lián)接到電源上,這樣只要有一個(gè)開(kāi)關(guān)接通,燈泡就亮。因此燈亮和開(kāi)關(guān)接通是或邏輯關(guān)系,可以用邏輯代數(shù)中的或運(yùn)算來(lái)表示)燈泡的狀態(tài)用Y表示,開(kāi)關(guān)的狀態(tài)分別用A、B表示):Y=A+B3)非邏輯和非運(yùn)算如果條件與結(jié)果的狀態(tài)總是相反,則這樣的邏輯關(guān)系叫做非邏輯關(guān)系,簡(jiǎn)稱(chēng)非邏輯,或稱(chēng)為邏輯非。邏輯變量A的邏輯非,表示為,讀作“A非”或“A反”,其表達(dá)式為:

2.邏輯函數(shù)及其表示方法(1)邏輯函數(shù)的定義邏輯函數(shù)的定義和普通代數(shù)中函數(shù)的定義類(lèi)似。在邏輯電路中,如果輸入變量A、B、C…的取值確定后,輸出變量Y的值也被唯一確定了。那么,我們就稱(chēng)Y是A、B、C…的邏輯函數(shù)。邏輯函數(shù)的一般表達(dá)式可以寫(xiě)作:Y=F(A,B,C,…)根據(jù)函數(shù)的定義:Y=A·B、Y=A+B、Y=三個(gè)表達(dá)式反映的是三個(gè)基本的邏輯函數(shù),表示Y是A、B的與函數(shù)、或函數(shù)、非函數(shù)。

(2)邏輯函數(shù)的表示方法

1)真值表真值表是將邏輯變量的各種可能的取值和相應(yīng)的函數(shù)值排列在一起而組成的表格。表7-2與門(mén)真值表

例如,圖7-2a所示是二極管與門(mén)電路。當(dāng)A、B全為高電平時(shí),輸出才為高電平。

圖7-2二極管“與”門(mén)及其邏輯符號(hào)a)二極管與門(mén)電路b)與門(mén)邏輯符號(hào)

如果高電平用1表示,低電平用0表示,則可得與門(mén)真值表,見(jiàn)表7-2。111001010000YBA表7-2與門(mén)真值表

2)邏輯函數(shù)表達(dá)式邏輯函數(shù)表達(dá)式是用各變量的與、或、非邏輯運(yùn)算的組合表達(dá)式來(lái)表示邏輯函數(shù)的,簡(jiǎn)稱(chēng)邏輯表達(dá)式、函數(shù)式、表達(dá)式。與門(mén)電路輸出狀態(tài)Y與輸入狀態(tài)A、B的關(guān)系可表示為:該式表明,當(dāng)A和B全為1時(shí),輸出Y才為1,這與它的真值表是相符的。

3)邏輯圖用規(guī)定的邏輯符號(hào)連接構(gòu)成的圖,稱(chēng)為邏輯圖。如圖7-2b所示為與門(mén)的邏輯符號(hào),也是與邏輯的邏輯符號(hào)。每一種邏輯運(yùn)算都可以用一種邏輯符號(hào)來(lái)表示,只要能得到邏輯函數(shù)的表達(dá)式,就可以轉(zhuǎn)換為邏輯圖。由于邏輯符號(hào)也代表邏輯門(mén),和電路器件是相對(duì)應(yīng)的,所以,邏輯圖也稱(chēng)為邏輯電路圖。3邏輯代數(shù)中的基本公式和定律

1)變量和常量的關(guān)系公式1公式1ˊ公式2公式2ˊ公式3公式3ˊ

2)與普通代數(shù)相似的定律

a.交換律公式4公式4ˊ

b.結(jié)合律公式5公式5ˊ

c.分配律公式6公式6ˊ3)邏輯代數(shù)中的一些特殊定律

a.重疊律公式7公式7ˊ

b.反演律(摩根定律)公式8

公式8ˊ

c.非非律(否定律或還原律)公式9第二節(jié)門(mén)電路一、基本邏輯門(mén)

在邏輯電路中,電平的高低是相互對(duì)立的邏輯狀態(tài),可用邏輯1和邏輯0分別表示。通常,我們用邏輯1表示高電平,用邏輯0表示低電平。

1.二極管與門(mén)電路二極管與門(mén)電路見(jiàn)圖7-2,邏輯功能為“有0出0,全1出1”。

圖7-2二極管“與”門(mén)及其邏輯符號(hào)a)二極管與門(mén)電路b)與門(mén)邏輯符號(hào)

2.二極管或門(mén)電路如圖7-3所為二極管或門(mén)電路及邏輯符號(hào),圖7-3b也是或邏輯的邏輯符號(hào)?;蜷T(mén)電路的真值表見(jiàn)表7-3。 或門(mén)的邏輯功能為:“有1出1,全0出0”。表7-2與門(mén)真值表圖7-3二極管“或”門(mén)及其邏輯符號(hào)a)二極管或門(mén)電路b)或門(mén)邏輯符號(hào)111101110000YBA表7-3或門(mén)電路真值表

3.非門(mén)電路(晶體管反相器)晶體管構(gòu)成的反相器電路如圖7-4所示。圖7-4反相器

從圖中可以看出,輸出電平與輸入電平反相,輸出電平和輸入電平之間是非邏輯關(guān)系,所以該電路稱(chēng)為反相器,又稱(chēng)為非門(mén)。圖7-4b為非門(mén)的邏輯符號(hào),也是非邏輯的邏輯符號(hào)。

復(fù)合門(mén),就是把與門(mén),或門(mén)和非門(mén)結(jié)合起來(lái)作為一個(gè)門(mén)電路來(lái)使用。常用的復(fù)合門(mén)及其邏輯符號(hào)、代數(shù)式如圖7-5所示。圖7-5復(fù)合門(mén)電路a)與非門(mén)b)或非門(mén)c)與或非門(mén)d)異或門(mén)=二、復(fù)合邏輯門(mén)電路

根據(jù)函數(shù)的不同表達(dá)式,可得函數(shù)L的邏輯圖如圖7-6所示,同一邏輯函數(shù)可以用不同的邏輯門(mén)來(lái)實(shí)現(xiàn)。圖7-6函數(shù)的邏輯圖

a)b)c)

d)e)三、集成邏輯門(mén)電路1.TTL電路

TTL電路全稱(chēng)為晶體管—晶體管集成邏輯門(mén)電路,簡(jiǎn)稱(chēng)TTL電路。TTL電路有不同系列的產(chǎn)品,我們以STTL電路為例,介紹TTL電路。(1)TTL與非門(mén)電路

1)電路組成

74LS00是一種四2輸入的與非門(mén),其內(nèi)部有四個(gè)兩輸入端的與非門(mén),其電路圖和引腳圖如圖7-7所示。圖7-7與非門(mén)74LS00LSTTL與非門(mén)電路由輸入級(jí),中間倒相級(jí)和輸出級(jí)三部分組成。當(dāng)電路的任一輸入端有低電平時(shí),輸出為高電平;當(dāng)輸入全為高電平時(shí),輸出為低電平;即有0出1,全1出0。電路輸出與輸入之間為與非邏輯關(guān)系,即:

在LSTTL電路內(nèi)部,為了提高工作速度,采用了肖特基三極管,肖特基三極管的符號(hào)如圖7-8所示。肖特基三極管的主要特點(diǎn)是開(kāi)關(guān)時(shí)間短,工作速度高。圖7-8肖特基三極管符號(hào)

與非門(mén)多余端子的處理方法分析如下:①

多余端子接電源或懸空②

多余端子與有用端子并接。

注:對(duì)TTL電路來(lái)說(shuō),輸入端懸空相當(dāng)于高電平。例7-3已知74LS00四2輸入與非門(mén),試問(wèn)有多余端子時(shí)該如何處理。解2)TTL門(mén)電路的主要參數(shù)門(mén)電路的參數(shù)反映著門(mén)電路的特性,是合理使用門(mén)電路的重要依據(jù)。

a.輸出高電平是指輸入端有一個(gè)或一個(gè)以上為低電平時(shí)的輸出高電平值。

b.輸出低電平是指輸入端全部接高電平時(shí)的輸出低電平值。

c.輸入短路電流是指輸入端有一個(gè)接地,其余輸入端開(kāi)路時(shí),流入接地輸入端的電流。

d.高電平輸入電流是指一個(gè)輸入端接高電平,其余輸入端接地時(shí),流入該輸入端的電流。

e.輸入高電平最小值當(dāng)輸入電平高于該值時(shí),輸入的邏輯電平即為高電平。f.輸入低電平最大值只要輸入電平低于,輸入端的邏輯電平即為低電平。g.平均傳輸時(shí)間TTL電路中的二極管和晶體管在進(jìn)行狀態(tài)轉(zhuǎn)換時(shí),即由導(dǎo)通狀態(tài)轉(zhuǎn)換為截止?fàn)顟B(tài),或由截止?fàn)顟B(tài)轉(zhuǎn)換為導(dǎo)通狀態(tài)時(shí),都需要一定的時(shí)間,這段時(shí)間叫做二極管和晶體管的開(kāi)關(guān)時(shí)間。同樣,門(mén)電路的輸入狀態(tài)改變時(shí),其輸出狀態(tài)的改變也要滯后一段時(shí)間。是指電路在兩種狀態(tài)間相互轉(zhuǎn)換時(shí)所需時(shí)間的平均值。例7-4解

圖7-9為74LS00與非門(mén)構(gòu)成的電路,A端為信號(hào)輸入端,B端為控制端,試根據(jù)其輸入波形畫(huà)出其輸出波形。

圖7-9

可以看出,在B=1期間,輸出信號(hào)和輸入信號(hào)的波形相同,所以該電路可作為數(shù)字頻率計(jì)的受控傳輸門(mén)。(2)TTL其它類(lèi)型的門(mén)電路

1)或非門(mén)74LS2774LS27是一種三3輸入或非門(mén)。內(nèi)部有三個(gè)獨(dú)立的或非門(mén),每個(gè)或非門(mén)有三個(gè)輸入端,圖7-10為它的邏輯符號(hào)與引腳圖?;蚍情T(mén)的邏輯關(guān)系為:有高出低,全低出高,即:圖7-1074LS27或非門(mén)電路

或非門(mén)多余端子的處理方法如圖7-11①

多余端子接地:②

多余端子與有用端子并接。例7-5已知74LS27三3輸入或非門(mén),試問(wèn)有多余端子時(shí)該如何處理。解圖7-11或非門(mén)無(wú)用端的處理2)異或門(mén)74LS8674LS86是一種四異或門(mén),內(nèi)部有四個(gè)異或門(mén)。其邏輯符號(hào)如圖7-12所示。邏輯表達(dá)式為:,異或門(mén)的邏輯功能為:輸入相異時(shí),輸出為1;輸入相同時(shí),輸出為0。

異或門(mén)邏輯符號(hào)

圖7-12所示電路為一由異或門(mén)構(gòu)成的正碼/反碼電路。B=0時(shí),輸出=,輸出與輸入相等,輸出為二進(jìn)制碼的原碼(即正碼)。當(dāng)B=1時(shí),輸出,輸出與輸入相反,輸出為輸入二進(jìn)制碼的反碼。圖7-12異或門(mén)構(gòu)成的正碼/反碼電路2.CMOS集成門(mén)電路CMOS器件內(nèi)部集成的是絕緣柵型場(chǎng)效應(yīng)晶體管,由于這種場(chǎng)效應(yīng)管是由金屬(Metal)、氧化物(Oxide)和半導(dǎo)體材料(Semiconductor)構(gòu)成的,又稱(chēng)為MOS場(chǎng)效應(yīng)管。MOS場(chǎng)效應(yīng)管也是一種電子器件,其特性和晶體三極管類(lèi)似,但其柵極(控制極,類(lèi)似于晶體管的基極)與其它兩個(gè)電極之間是絕緣的,輸入電阻很大,輸入電流極小。NMOS管和PMOS管當(dāng)在MOS管的柵極和另一特定電極之間加上一定的控制電壓時(shí),會(huì)在除柵極之外的另外兩個(gè)電極之間產(chǎn)生一個(gè)能夠?qū)щ姷耐ǖ?,稱(chēng)為溝道。如果溝道中多數(shù)載流子是自由電子,則稱(chēng)為N溝道,對(duì)應(yīng)的管子稱(chēng)為NMOS管;如果溝道中多數(shù)載流子是空穴,則稱(chēng)為P溝道,對(duì)應(yīng)的場(chǎng)效應(yīng)管稱(chēng)為PMOS管。NMOS管和PMOS管的導(dǎo)通條件不同。CMOS集成電路CMOS集成電路中集成有兩種互補(bǔ)的MOS管,一種是N溝道MOS管(NMOS管),另一種是P溝道MOS管(PMOS管),所以稱(chēng)為CMOS器件(互補(bǔ)型MOS器件)。

MOS電路工作速度較低,但具有集成度高、功耗低、工藝簡(jiǎn)單等優(yōu)點(diǎn)。在MOS電路中,應(yīng)用最廣泛的是CMOS電路。(1)CMOS反相器

CMOS反相器電路如圖7-13所示。

CMOS反相器中常用的有六反相器CD4069。圖7-13CMOS反相器及其等效電路a)電路b)輸入為低電平時(shí)的等效電路c)輸入為高電平時(shí)的等效電路(2)其它邏輯功能的CMOS門(mén)

1)CMOS與非門(mén)CD4011是一種四2輸入與非門(mén),其內(nèi)部有四個(gè)與非門(mén),每個(gè)與非門(mén)有兩個(gè)輸入端。

2)CMOS或非門(mén)CD4025是一種三3輸入或非門(mén)。它內(nèi)部有三個(gè)或非門(mén),每個(gè)或非門(mén)有三個(gè)輸入端。

3)CMOS與或非門(mén)

CD4085是一種CMOS雙2-2輸入與或非門(mén),并帶有禁止端,其邏輯圖如圖7-14所示。其中禁止端的作用是:當(dāng)禁止端有效時(shí),輸出狀態(tài)被鎖定為0;禁止端無(wú)效時(shí),電路正常工作。即:當(dāng)INH=0時(shí),。當(dāng)INH=1時(shí),Y=0,此時(shí)輸出狀態(tài)被鎖定為0。圖7-14帶禁止端的CMOS與或非門(mén)邏輯圖(1/2CD4085)第三節(jié)常用集成組合邏輯電路

按電路邏輯功能的特點(diǎn)來(lái)分,數(shù)字電路可分為組合邏輯電路和時(shí)序邏輯電路。若電路的任一時(shí)刻的輸出都只取決于該時(shí)刻的輸入狀態(tài),而與輸入信號(hào)作用之前的電路原來(lái)的狀態(tài)無(wú)關(guān),則該數(shù)字電路稱(chēng)為組合邏輯電路。組合邏輯電路的邏輯功能,可以用邏輯函數(shù)表達(dá)式或真值表來(lái)表示。組合邏輯電路的品種很多,常見(jiàn)的有編碼器、譯碼器、數(shù)據(jù)選擇器、數(shù)字比較器等,有專(zhuān)用的中規(guī)模集成器件(MSI)。中規(guī)模集成器件通常設(shè)置有一些控制端(使能端)、功能端和級(jí)聯(lián)端等,在不用或少用附加電路的情況下,就能將若干功能部件擴(kuò)展成位數(shù)更多、功能更復(fù)雜的電路。一、編碼器

在數(shù)字系統(tǒng)中,常常需要把某種具有特定意義的輸入信號(hào)(例如數(shù)字、字符或某種控制信號(hào)等),編成相應(yīng)的若干位二進(jìn)制代碼來(lái)處理,這一過(guò)程稱(chēng)為編碼。能夠?qū)崿F(xiàn)編碼的電路稱(chēng)為編碼器。

10線-4線8421BCD碼優(yōu)先編碼器

10線-4線8421BCD碼優(yōu)先編碼器有10個(gè)輸入端,每一個(gè)輸入端對(duì)應(yīng)著一個(gè)十進(jìn)制數(shù)(0

9),其輸出端輸出的是輸入信號(hào)相應(yīng)的BCD碼。為防止輸出產(chǎn)生混亂,該編碼器通常都設(shè)計(jì)成優(yōu)先編碼器。

CD40147是一種標(biāo)準(zhǔn)型CMOS集成10線-4線8421BCD碼優(yōu)先編碼器。其邏輯框圖如圖7-18所示,其真值表見(jiàn)表7-6。圖7-1510線-4線編碼器CD40147邏輯框圖

輸入

輸出I0

I1I2I3I4I5I6I7I8I9Y3Y2Y1Y00000000000111110000000000000×1000000000001××100000000010×××10000000011××××1000000100×××××100000101××××××10000110×××××××1000111××××××××101000×××××××××11001表7-5CD40147的真值表二、譯碼器及數(shù)碼顯示器

譯碼是編碼的逆過(guò)程,也就是把二進(jìn)制代碼所表示的特定含義“翻譯”出來(lái)的過(guò)程。實(shí)現(xiàn)譯碼功能的電路稱(chēng)為譯碼器。

在數(shù)字測(cè)量?jī)x表和各種數(shù)字系統(tǒng)中,常常需要用顯示譯碼器將BCD碼譯成十進(jìn)制數(shù),并驅(qū)動(dòng)數(shù)字顯示器顯示數(shù)碼。因此,顯示譯碼器由兩大部分組成,一部分為譯碼器,另一部分是與顯示器相連接的功率驅(qū)動(dòng)器。1.?dāng)?shù)碼顯示器在各種數(shù)碼管中,分段式數(shù)碼管是利用不同的發(fā)光段組合來(lái)顯示不同的數(shù)字,最常見(jiàn)的分段式數(shù)碼管是半導(dǎo)體發(fā)光二極管所構(gòu)成的半導(dǎo)體數(shù)碼管。半導(dǎo)體發(fā)光二極管是一種能將電能或電信號(hào)轉(zhuǎn)換成光信號(hào)的發(fā)光器。單個(gè)PN結(jié)封裝而成的產(chǎn)品就是發(fā)光二極管,而多個(gè)PN結(jié)可以封裝成半導(dǎo)體數(shù)碼管(也稱(chēng)LED數(shù)碼管)。

半導(dǎo)體數(shù)碼管內(nèi)部有兩種接法,即共陽(yáng)極接法和共陰極接法,BS201就是一種七段共陰極半導(dǎo)體數(shù)碼管(還帶有一個(gè)小數(shù)點(diǎn)),其管腳排列圖和內(nèi)部接線圖如圖7-16所示。

圖7-16共陰極半導(dǎo)體7段數(shù)碼管BS201BS204內(nèi)部是共陽(yáng)極接法,共陽(yáng)極接法的管腳排列圖和內(nèi)部接線圖如圖7-17所示,其外引腳排列圖與圖7-23基本相同(共陰輸出變?yōu)楣碴?yáng)輸出)。圖7-17共陽(yáng)極LED數(shù)碼管

各段筆劃的組合能顯示出十進(jìn)制數(shù)0~9及某些英文字母,如圖7-18所示。

圖7-18七段顯示的數(shù)字及英文字母圖形

半導(dǎo)體數(shù)碼管的優(yōu)點(diǎn)是工作電壓低(1.7~1.9V),體積小,可靠性高,壽命長(zhǎng)(大于一萬(wàn)小時(shí)),響應(yīng)速度快(優(yōu)于10ns),顏色豐富等,目前已有高亮度產(chǎn)品,缺點(diǎn)是耗電較大,工作電流一般為幾毫安至幾十毫安。

半導(dǎo)體數(shù)碼管的工作電流較大,可以用半導(dǎo)體三極管驅(qū)動(dòng),也可以用帶負(fù)載能力比較強(qiáng)的譯碼/驅(qū)動(dòng)電路直接驅(qū)動(dòng)。圖7-19所示是兩種LED數(shù)碼管的驅(qū)動(dòng)電路,較常用的方法是采用譯碼/驅(qū)動(dòng)器直接驅(qū)動(dòng)。

另外,液晶數(shù)碼管也是一種分段式數(shù)碼管,但驅(qū)動(dòng)電路較復(fù)雜。圖7-19半導(dǎo)體發(fā)光二極管驅(qū)動(dòng)電路a)晶體管驅(qū)動(dòng)b)譯碼/驅(qū)動(dòng)器驅(qū)動(dòng)

2七段顯示譯碼器分段式數(shù)碼管是利用不同發(fā)光段的組合來(lái)顯示不同的數(shù)字,為了使數(shù)碼管能將數(shù)碼所代表的數(shù)顯示出來(lái),必須首先將數(shù)碼譯出,然后經(jīng)驅(qū)動(dòng)電路控制對(duì)應(yīng)的顯示段的狀態(tài)。即對(duì)應(yīng)某一數(shù)碼,譯碼器應(yīng)有確定的幾個(gè)輸出端有規(guī)定信號(hào)輸出,這就是分段式數(shù)碼管顯示譯碼器電路的特點(diǎn)。

74HC48是一種共陰BCD七段譯碼/驅(qū)動(dòng)器。

74HC48的邏輯框圖如圖7-20所示,其真值表見(jiàn)表7-6。圖7-2074HC48BCD共陰七段譯碼/驅(qū)動(dòng)器表7-674HC48真值表數(shù)字功能輸入輸出顯示數(shù)字abcdefg012345678911111111111×××××××××000000001100001111000011001100010101010111111111111011010111111110011111011111111011011010101000101010001110110011111011101112131415111111××××××111111001111110011010101111111000100001000010000110110100010001110111110全暗××××××00000000全暗100×0×0×0×0×0101010101010101全暗

74HC48除基本輸入端和基本輸出端外,還有幾個(gè)輔助輸入輸出端:試燈輸入端,滅零輸入端,滅燈輸入/滅零輸出端。其中比較特殊,它既可以作輸入用,也可作輸出用。輔助輸入輸出端具有以下功能:

1)滅燈功能:用矩形脈沖信號(hào)控制滅燈(消隱)輸入端,可以使顯示的數(shù)字在數(shù)碼管上間歇地閃亮。

2)試燈功能:可以利用試燈輸入功能來(lái)測(cè)試數(shù)碼管的好壞。

3)滅零功能:當(dāng)輸入是數(shù)字零的代碼而又不需要顯示零的時(shí)候,可以利用滅零輸入端的功能來(lái)實(shí)現(xiàn)。

與配合使用,可消去混合小數(shù)的前零和無(wú)用的尾零。例如一個(gè)七位數(shù)顯示器,要將006.0400顯示成6.04,可按圖7-21連接,這樣既符合人們的閱讀習(xí)慣,又能減少電能的消耗。圖7-21具有滅零控制的七位數(shù)碼顯示系統(tǒng)

由于74HC48內(nèi)部已設(shè)有限流電阻,所以圖7-28中的共陰極數(shù)碼管的共陰極端可以直接接地,譯碼器的輸出端也不用接限流電阻。對(duì)于共陰接法的數(shù)碼管,還可以采用CD4511等七段鎖存譯碼驅(qū)動(dòng)器。對(duì)于共陽(yáng)接法的數(shù)碼管,可以采用共陽(yáng)數(shù)碼管的字形譯碼器,如74HC247等,在相同的輸入條件下,其輸出電平與74HC48相反,但在共陽(yáng)極數(shù)碼管上顯示的結(jié)果一樣。在為半導(dǎo)體數(shù)碼管選擇譯碼驅(qū)動(dòng)電路時(shí),需要根據(jù)半導(dǎo)體數(shù)碼管工作電流的要求,來(lái)選擇適當(dāng)?shù)南蘖麟娮?。謝謝觀看!祝同學(xué)們學(xué)習(xí)愉快!第八章時(shí)序邏輯電路

第一節(jié)觸發(fā)器第二節(jié)計(jì)數(shù)器第三節(jié)寄存器返回主目錄第一節(jié)觸發(fā)器一、基本RS觸發(fā)器

基本RS觸發(fā)器又稱(chēng)為RS鎖存器,在各種觸發(fā)器中,它的結(jié)構(gòu)最簡(jiǎn)單,卻是各種復(fù)雜結(jié)構(gòu)觸發(fā)器的基本組成部分。

1.

與非門(mén)組成的基本2R觸發(fā)器(1)電路組成

圖8-1基本RS觸發(fā)器(2).邏輯功能分析在基本RS觸發(fā)器中,觸發(fā)器的輸出不僅由觸發(fā)信號(hào)來(lái)決定,而且當(dāng)觸發(fā)信號(hào)消失后,電路能依靠自身的正反饋?zhàn)饔?,將輸出狀態(tài)保持下去,即具備記憶功能。==0或Q=0、=1)當(dāng)==1時(shí),電路有兩個(gè)穩(wěn)定狀態(tài):Q=1、=0或Q=0、=1,我們把前者稱(chēng)為1狀態(tài)或置位狀態(tài),把后者稱(chēng)為0狀態(tài)或復(fù)位狀態(tài)。2)當(dāng)=1、=0時(shí),Q=1,=0,觸發(fā)器被置成1狀態(tài)。

3)當(dāng)=0、=1時(shí),=1,Q=0,觸發(fā)器被置成0狀態(tài)。

4)當(dāng)=0、=0時(shí),Q==1,這是一種未定義的狀態(tài),既不是1狀態(tài),也不是0狀態(tài),這種狀態(tài)是不穩(wěn)定的,我們稱(chēng)之為不定狀態(tài)。分析1分析3分析4分析2100111100111結(jié)論11SRQn+1Qn=0Qn+1=Qn=1Qn+1=QnQn=0Qn=1RS、均為無(wú)效電平時(shí),具有保持功能100011100011結(jié)論10SRQn+1Qn=0Qn+1=0Qn=0Qn=10Qn=0Qn+1=011R為有效電平時(shí),置0功能R稱(chēng)為置0端000111000111結(jié)論01SRQn+11Qn=0Qn=10Qn=1Qn+1=011S為有效電平時(shí),置1功能S稱(chēng)為置1端Qn=1Qn+1=011011結(jié)論00SRQn+1不定=1Qn+1Qn+1=(3)邏輯功能的描述觸發(fā)器在接收觸發(fā)信號(hào)之前的原穩(wěn)定狀態(tài)稱(chēng)為初態(tài),用Qn表示;觸發(fā)器在接收觸發(fā)信號(hào)之后建立的新穩(wěn)定狀態(tài)叫做次態(tài),用Qn+1表示。觸發(fā)器的次態(tài)Qn+1是由觸發(fā)信號(hào)和初態(tài)Qn的取值情況所決定的。

1)狀態(tài)轉(zhuǎn)換特性表含有狀態(tài)變量的真值表叫做觸發(fā)器的特性表。基本RS觸發(fā)器的特性表如表8-1所示。表8-2為簡(jiǎn)化的特性表。

表8-1基本RS觸發(fā)器狀態(tài)轉(zhuǎn)換特性表011100不定不定110111100101010011000001Qn+1

Qn表8-2簡(jiǎn)化的RS觸發(fā)器特性表表

Qn+111100100Qn

10

不定2)時(shí)序圖(又稱(chēng)波形圖)時(shí)序圖是以波形圖的方式來(lái)描述觸發(fā)器的邏輯功能的。在圖8-1a所示電路中,假設(shè)觸發(fā)器的初始狀態(tài)為Q=0、=1,觸發(fā)信號(hào)的波形已知,則根據(jù)上述邏輯關(guān)系可以畫(huà)出Q和的波形,如圖8-2所示。圖8-2時(shí)序波形圖注:不講波形畫(huà)的過(guò)程

基本RS觸發(fā)器除了可用上述與非門(mén)組成外,也可以利用兩個(gè)或非門(mén)來(lái)組成,其邏輯圖和邏輯符號(hào)如圖8-3所示。

圖8-3或非門(mén)組成的基本RS觸發(fā)器a)邏輯圖b)邏輯符號(hào)2.或非門(mén)組成的基本RS觸發(fā)器

在這種基本RS觸發(fā)器中,觸發(fā)輸入端R、S在沒(méi)有加觸發(fā)信號(hào)時(shí)應(yīng)處于低電平狀態(tài),當(dāng)加有觸發(fā)信號(hào)時(shí)為高電平(稱(chēng)為高電平有效)。其特性表見(jiàn)表8-3、時(shí)序圖如圖8-4所示。圖8-4或非門(mén)構(gòu)成的RS觸發(fā)器時(shí)序圖表8-3或非門(mén)構(gòu)成的RS觸發(fā)器特性表

RSQn+100011011Qn

10

不定二、同步RS觸發(fā)器和D鎖存器

1.同步RS觸發(fā)器(1)電路組成同步RS觸發(fā)器是同步觸發(fā)器中最簡(jiǎn)單的一種,其邏輯圖和邏輯符號(hào)如圖8-5所示。CP是時(shí)鐘脈沖信號(hào),高電平有效,即CP為高電平時(shí),輸出狀態(tài)可以改變,CP為低電平時(shí),觸發(fā)器保持原狀態(tài)不變。Q和是互補(bǔ)輸出端。圖8-5同步RS觸發(fā)器a)邏輯圖b)邏輯符號(hào)(2)功能分析

1)當(dāng)CP=0時(shí),觸發(fā)器保持原狀態(tài)不變。

2)當(dāng)CP=1時(shí),觸發(fā)器將按基本RS觸發(fā)器的規(guī)律發(fā)生變化。此時(shí),同步RS觸發(fā)器的狀態(tài)轉(zhuǎn)換特性表與表8-3相同。(3)初始狀態(tài)的預(yù)置在實(shí)際應(yīng)用中,有時(shí)需要在時(shí)鐘脈沖CP到來(lái)之前,預(yù)先將觸發(fā)器設(shè)置成某種狀態(tài),為此,在同步RS觸發(fā)器電路中設(shè)置了直接置位端和直接復(fù)位端。其工作情況可用圖8-6的波形圖來(lái)描述。圖8-6同步RS觸發(fā)器時(shí)序波形圖2.同步D觸發(fā)器同步D觸發(fā)器又稱(chēng)為D鎖存器,其邏輯圖和邏輯符號(hào)如圖8-7所示。圖8-7同步D觸發(fā)器a)邏輯圖b)邏輯符號(hào)DQn+1=CP=1時(shí)功能三、邊沿觸發(fā)器1.邊沿D觸發(fā)器(1)邏輯符號(hào)邊沿D觸發(fā)器的邏輯符號(hào)如圖8-8所示。符號(hào)圖中、端的小圓圈表示低電平有效。該觸發(fā)器為CP上升沿觸發(fā)(圖中,CP端若有小圓圈表示觸發(fā)器為CP下降沿觸發(fā))。圖8-8邊沿D觸發(fā)器的邏輯符號(hào)圖8-8邊沿D觸發(fā)器的邏輯符號(hào)(2)工作特性此種觸發(fā)器的狀態(tài)只有在CP的上升沿到來(lái)時(shí)才可能改變,除此之外,在CP的其它任何時(shí)刻,觸發(fā)器都將保持狀態(tài)不變,故把這種類(lèi)型的觸發(fā)器稱(chēng)為正邊沿觸發(fā)器或上升沿觸發(fā)器。除上述正邊沿觸發(fā)的D觸發(fā)器之外,還有在時(shí)鐘脈沖下降沿觸發(fā)的負(fù)邊沿D觸發(fā)器,與正邊沿D觸發(fā)器相比較,只是觸發(fā)器翻轉(zhuǎn)時(shí)所對(duì)應(yīng)的時(shí)鐘脈沖CP的觸發(fā)沿不同,其所實(shí)現(xiàn)的邏輯功能均相同。

(3)邏輯功能描述邊沿D觸發(fā)器在CP上升沿到來(lái)時(shí)的狀態(tài)轉(zhuǎn)換特性表如表8-4所示,表8-5為D觸發(fā)器簡(jiǎn)化的特性表。圖8-9為D觸發(fā)器的時(shí)序圖。表8-4D觸發(fā)器狀態(tài)轉(zhuǎn)換特性表表8-5D觸發(fā)器簡(jiǎn)化特性表CPDQnQn+1↑↑↑↑000110110011圖8-9

D觸發(fā)器時(shí)序圖CPDQn+1↑↑0101圖8-9

D觸發(fā)器時(shí)序圖例8-1已知某D觸發(fā)器的邏輯符號(hào)如圖8-8所示,試根據(jù)圖8-9的CP和D的波形,畫(huà)出觸發(fā)器輸出端

Q的波形。圖8-8解

①判斷觸發(fā)器的類(lèi)型:此觸發(fā)器為上升沿D觸發(fā)器②確定D值:

的值:

③確定Qn+1

值④畫(huà)Q波形:如圖

圖8-9D觸發(fā)器簡(jiǎn)化特性表CPDQn+1↑↑0101(4)邊沿D觸發(fā)器的應(yīng)用

74HC74是一種集成正邊沿雙D觸發(fā)器,內(nèi)含兩個(gè)上升沿觸發(fā)的D觸發(fā)器。圖8-10是利用74HC74構(gòu)成的單按鈕電子轉(zhuǎn)換開(kāi)關(guān)電路,該電路只利用一個(gè)按鈕即可實(shí)現(xiàn)電路的接通與斷開(kāi)。圖8-1074HC74應(yīng)用電路2.邊沿JK觸發(fā)器(1)邊沿JK觸發(fā)器的邏輯符號(hào)圖8-11為JK觸發(fā)器的邏輯符號(hào),其中圖a為CP上升沿觸發(fā),圖b為CP下降沿觸發(fā),除此之外,二者的邏輯功能完全相同,圖中J、K為觸發(fā)信號(hào)輸入端。圖8-11邊沿JK觸發(fā)器a)上升沿觸發(fā)型b)下降沿觸發(fā)型(2)JK觸發(fā)器的邏輯功能下降沿觸發(fā)的JK觸發(fā)器的邏輯功能見(jiàn)表8-6,表8-7為JK觸發(fā)器簡(jiǎn)化的功能表,時(shí)序圖如圖8-12所示。表8-6JK觸發(fā)器功能表

CPJKQnQn+1功能名稱(chēng)

××↓↓↓↓↓↓↓↓

01101111111111111111

××××0000010110101111

××01010101

1001001110直接置1直接置0保持保持置0置0置1置1翻轉(zhuǎn)翻轉(zhuǎn)表8-7JK觸發(fā)器簡(jiǎn)化功能表

JKQn+1

00011011Qn01表8-7JK觸發(fā)器簡(jiǎn)化功能表

JKQn+1

00011011Qn01圖8-12JK觸發(fā)器時(shí)序圖例8-2解

①判斷觸發(fā)器的類(lèi)型:此觸發(fā)器下降沿JK觸發(fā)器②確定J、K值:

③確定Qn+1

值:圖8-12已知某JK觸發(fā)器的邏輯符號(hào)如圖8-11b)所示,試根據(jù)圖8-12的CP和J、K波形,畫(huà)出

Q和的波形。④畫(huà)Q波形和波形:如圖

圖8-11JK觸發(fā)器簡(jiǎn)化功能表

JKQn+1

00011011Qn01翻轉(zhuǎn)(3)邊沿JK觸發(fā)器的應(yīng)用

74HC112內(nèi)含兩個(gè)下降沿JK觸發(fā)器,圖8-13a是利用74HC112組成的二分頻和四分頻電路。分頻是指電路輸出信號(hào)的頻率是輸入信號(hào)頻率的1/N(其中N為整數(shù),即分頻次數(shù)),也就是說(shuō)輸出信號(hào)的周期是輸入信號(hào)周期的N倍。圖8-1374HC110構(gòu)成的分頻電路第二節(jié)計(jì)數(shù)器一、計(jì)數(shù)器的功能和分類(lèi)

計(jì)數(shù)器是一種應(yīng)用廣泛的時(shí)序邏輯電路,它不僅可用來(lái)對(duì)脈沖計(jì)數(shù),而且還常用于數(shù)字系統(tǒng)的定時(shí)、延時(shí)、分頻及構(gòu)成節(jié)拍脈沖發(fā)生器等等。計(jì)數(shù)器的種類(lèi)繁多,按計(jì)數(shù)長(zhǎng)度可分為二進(jìn)制、十進(jìn)制及N進(jìn)制計(jì)數(shù)器。按計(jì)數(shù)脈沖的引入方式可分為異步工作方式和同步工作方式計(jì)數(shù)器兩類(lèi)。按計(jì)數(shù)的增減趨勢(shì)可分為加法、減法及可逆計(jì)數(shù)器。無(wú)論哪種類(lèi)型的計(jì)數(shù)器,其組成和其它時(shí)序電路一樣,都含有存儲(chǔ)單元(這里通稱(chēng)為計(jì)數(shù)單元),有時(shí)還增加一些組合邏輯門(mén)電路,其中存儲(chǔ)單元是由觸發(fā)器構(gòu)成的。1.異步二進(jìn)制加法計(jì)數(shù)器

1)電路組成圖8-14所示是利用3個(gè)下降沿JK觸發(fā)器構(gòu)成的異步二進(jìn)制加法計(jì)數(shù)器。計(jì)數(shù)脈沖CP加至最低位觸發(fā)器F0的時(shí)鐘端,低位觸發(fā)器的Q端依次接到相鄰高位觸發(fā)器的時(shí)鐘端,因此它是異步計(jì)數(shù)器。二、異步計(jì)數(shù)器圖8-14異步二進(jìn)制加法計(jì)數(shù)器2)工作原理該計(jì)數(shù)器的狀態(tài)轉(zhuǎn)換特性表見(jiàn)表8-8,時(shí)序圖如圖8-15所示。計(jì)數(shù)器的狀態(tài)轉(zhuǎn)換規(guī)律也可以采用如圖8-16所示的狀態(tài)轉(zhuǎn)換圖來(lái)表示。圖8-15異步二進(jìn)制加法計(jì)數(shù)器時(shí)序圖圖8-16異步二進(jìn)制加法計(jì)數(shù)器狀態(tài)轉(zhuǎn)換圖計(jì)數(shù)脈沖

CP序號(hào)計(jì)數(shù)器狀態(tài)Q2Q1Q0

012345678

000001010011100101110111000表8-8狀態(tài)轉(zhuǎn)換表

計(jì)數(shù)器還具有分頻功能。由n個(gè)觸發(fā)器構(gòu)成的二進(jìn)制計(jì)數(shù)器,其末級(jí)觸發(fā)器輸出脈沖頻率為CP的1/2n

,即實(shí)現(xiàn)對(duì)CP的2n分頻。異步3位二進(jìn)制加法計(jì)數(shù)器也可采用上升沿D觸發(fā)器來(lái)構(gòu)成,如圖8-17a。

圖8-17上升沿觸發(fā)的異步3位二進(jìn)制加法計(jì)數(shù)器a)邏輯圖b)時(shí)序圖2.異步十進(jìn)制加法計(jì)數(shù)器

圖8-18所示是由4個(gè)JK觸發(fā)器構(gòu)成的8421碼異步十進(jìn)制加法計(jì)數(shù)器,該電路具有自啟動(dòng)和向高位計(jì)數(shù)器進(jìn)位的功能。圖8-18異步十進(jìn)制加法計(jì)數(shù)器十進(jìn)制計(jì)數(shù)器狀態(tài)轉(zhuǎn)換表見(jiàn)表8-9,時(shí)序圖如圖8-19所示。計(jì)數(shù)脈沖CP序號(hào)計(jì)數(shù)器狀態(tài)

Q3Q2Q1Q0進(jìn)位對(duì)應(yīng)十進(jìn)制數(shù)012345678910000000010010001101000101011001111000100100000000000001001234567890表8-9十進(jìn)制計(jì)數(shù)器狀態(tài)轉(zhuǎn)換表圖8-19異步十進(jìn)制加法計(jì)數(shù)器時(shí)序圖

3.異步N進(jìn)制

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論