



全文預(yù)覽已結(jié)束
下載本文檔
版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
電子系統(tǒng)設(shè)計(jì)基礎(chǔ)ALTERA FPGA設(shè)計(jì)之帶復(fù)位的D觸發(fā)器_基本實(shí)驗(yàn)Quartus II 13.0 SP1 + Modelsim SE-64 10.2c + Cyclone【】為必須填寫(xiě)。姓名學(xué)號(hào)】實(shí)驗(yàn)?zāi)康模?、 熟悉VHDL觸發(fā)器的設(shè)計(jì);2、 了解FPGA基本結(jié)構(gòu)中的觸發(fā)器。3、 熟悉VHDL test bench(測(cè)試平臺(tái)/測(cè)試激勵(lì))的設(shè)計(jì);4、 熟練掌握Altera FPGA的開(kāi)發(fā)環(huán)境、設(shè)計(jì)步驟和流程。實(shí)驗(yàn)形式:邊做實(shí)驗(yàn)邊寫(xiě)實(shí)驗(yàn)報(bào)告,實(shí)驗(yàn)完成后即提交實(shí)驗(yàn)報(bào)告?!久枋鰧?shí)驗(yàn)中的設(shè)計(jì)】用 IF 語(yǔ)句設(shè)計(jì) D 觸發(fā)器, 實(shí)現(xiàn)帶復(fù)位功能的 D觸發(fā)器?!緦?shí)驗(yàn)中設(shè)計(jì)實(shí)現(xiàn)的HDL代碼】library ieee;use ieee.std_logic_1164.all;entity exp1 is port(R:in std_logic; clk:in std_logic; D:in std_logic; Q:out std_logic; Q0:out std_logic); end exp1; architecture arch_exp1 of exp1 is signal a:std_logic; begin process(clk,R) begin if(clkevent and clk=1)then if(R=0)then a=0; else a=D; end if; end if;end process;Q=a; Q0R,clk=clk,D=D,Q=Q,Q0=Q0); processbeginR =0;D =0;wait for 10 ns;R =0;D =1;wait for 10 ns;R =1;D =0;wait for 10 ns;R =1;D =1;wait for 10 ns;R =1;D =0;wait;end process;clk_stimulus:processbeginclk =0;wait for 4 ns;clk =1;wait for 4 ns;end process clk_stimulus;end arch_Etb;【實(shí)驗(yàn)中設(shè)計(jì)的功能仿真結(jié)果及分析】功能仿真結(jié)果分析: 從上圖中的仿真結(jié)果可以看出, 0 ns20ns 輸入 R為低電平0,4 ns時(shí),clk遇到上升沿,電路復(fù)位; 20ns以后輸入R為高電平1;30ns40ns, 輸入D 為高電平1, 36ns時(shí),clk為上升沿,輸出Q置為D即高電平1,輸出Q0置為D的非即低電平0。 功能仿真結(jié)果正好驗(yàn)證了我們?cè)O(shè)計(jì)的帶復(fù)位功能的D觸發(fā)器是正確的?!緦?shí)驗(yàn)中設(shè)計(jì)的時(shí)序仿真結(jié)果及分析】(注意建立時(shí)間和保持時(shí)間)時(shí)序仿真結(jié)果分析:從上圖中的仿真結(jié)果可以看出, 0 ns20ns 輸入 R為低電平0,4 ns時(shí),clk遇到上升沿,電路復(fù)位延遲到7.6ns才發(fā)生; 20ns以后輸入R為高電平1;30ns40ns, 輸入D 為高電平1, 36ns時(shí),clk為上升沿,輸出Q置為D即高電平1,輸出Q0置為D的非即低電平0,二者都延時(shí)到48ns才發(fā)生。時(shí)序仿真結(jié)果同樣驗(yàn)證了我們?cè)O(shè)計(jì)的帶復(fù)位功能的D觸發(fā)器是正確的。【實(shí)驗(yàn)中設(shè)計(jì)的RTL級(jí)電路結(jié)構(gòu)及分析】只含有一個(gè)觸發(fā)器,R作為復(fù)位信號(hào),clk 上升沿觸發(fā)?!緦?shí)驗(yàn)中設(shè)計(jì)的硬件驗(yàn)證結(jié)果及分析】R和D設(shè)置為K1,K2,Q和Q0設(shè)置為L(zhǎng)1,L2,時(shí)鐘信號(hào)由單步時(shí)鐘輸入B18:Step控制。經(jīng)驗(yàn)證硬件電路滿足上述表格關(guān)系,實(shí)驗(yàn)成功?!玖谐鰧?shí)驗(yàn)中遇到的問(wèn)題及解決情況】1、 開(kāi)始時(shí)編程得到的RTL電路中含有兩個(gè)觸發(fā)器,是因?yàn)榇a為“Q=D,Q0=not D“,老師要求不能用inout端口,故改用signal,將信號(hào)量賦值給D,“Q=a,Q0=not a”,問(wèn)題解決。2、 功能仿真中Q和Q0的輸出總是慢半個(gè)周期,幾乎可以看做是下降沿觸發(fā),經(jīng)老師提醒,發(fā)現(xiàn)自己在信號(hào)量復(fù)制給輸出量是代碼位置有誤,應(yīng)放在end process之后,僅僅放在end if之后仍會(huì)影響輸出?!緦?shí)驗(yàn)總結(jié)】這次實(shí)驗(yàn)我做了兩個(gè)晚上,第一次做時(shí),因?yàn)闆](méi)有找出功能仿真錯(cuò)誤所在,所以決定第二天再來(lái)試一次,最后在老師的幫助下完成了實(shí)驗(yàn)。這次實(shí)驗(yàn)讓
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 幼兒園幼兒禮儀教育合作與推廣合同
- 城市綜合體屋頂光伏項(xiàng)目場(chǎng)地租賃與能源政策支持協(xié)議
- 遵義市文職輔警招聘考試真題
- 物聯(lián)網(wǎng)智能設(shè)備數(shù)據(jù)采集、存儲(chǔ)及數(shù)據(jù)安全服務(wù)合同
- 新版gsp計(jì)算機(jī)系統(tǒng)培訓(xùn)試題及答案
- 虛擬社交平臺(tái)用戶虛擬身份權(quán)屬及使用協(xié)議
- 災(zāi)區(qū)模塊化醫(yī)院快速搭建與醫(yī)療設(shè)施安全保障合同
- 時(shí)尚潮流穿戴系統(tǒng)設(shè)計(jì)與生產(chǎn)合同
- 網(wǎng)絡(luò)文學(xué)作品版權(quán)代理與網(wǎng)絡(luò)文學(xué)版權(quán)授權(quán)及改編合作協(xié)議
- 服刑人員未成年子女探視權(quán)及撫養(yǎng)費(fèi)協(xié)議
- 平面向量的基本定理(說(shuō)課)
- 人教版PEP英語(yǔ)3-6年級(jí)全部單詞默寫(xiě)表格以及背誦版本
- 2024年新課標(biāo)高考化學(xué)真題試題(原卷版+含解析)
- 《一起長(zhǎng)大的玩具》整本書(shū)閱讀(教學(xué)設(shè)計(jì))統(tǒng)編版語(yǔ)文二年級(jí)下冊(cè)
- 2024公需科目:數(shù)字經(jīng)濟(jì)與創(chuàng)新驅(qū)動(dòng)發(fā)展題庫(kù)
- 汽車租賃價(jià)格動(dòng)態(tài)調(diào)整策略研究
- 湖北省武漢市江漢區(qū)2023-2024學(xué)年七年級(jí)下學(xué)期期末數(shù)學(xué)試題
- 專題04語(yǔ)法填空
- DZ∕T 0270-2014 地下水監(jiān)測(cè)井建設(shè)規(guī)范
- (高清版)JTGT 3365-02-2020 公路涵洞設(shè)計(jì)規(guī)范
- 科普知識(shí)小學(xué)生飛機(jī)科普知識(shí)
評(píng)論
0/150
提交評(píng)論