單片機(jī)實(shí)習(xí)報(bào)告_第1頁
單片機(jī)實(shí)習(xí)報(bào)告_第2頁
單片機(jī)實(shí)習(xí)報(bào)告_第3頁
單片機(jī)實(shí)習(xí)報(bào)告_第4頁
單片機(jī)實(shí)習(xí)報(bào)告_第5頁
已閱讀5頁,還剩5頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、單片機(jī)實(shí)習(xí)報(bào)告【三篇】單片機(jī)實(shí)習(xí)報(bào)告【一】一 實(shí)習(xí)目的1. 通過對(duì)單片機(jī)小系統(tǒng)的設(shè)計(jì)、焊接、裝配,掌握電路原理圖及 電子線路的基本焊接裝配工藝、規(guī)范及注意事項(xiàng) ;2. 通過對(duì)系統(tǒng)板的測(cè)試,了解系統(tǒng)板的工作原理及性能,掌握元 器件及系統(tǒng)故障的排除方法 ;3. 掌握程序編制及調(diào)試方法,完成系統(tǒng)初始化、存儲(chǔ)器操作、端 口操作、鍵盤顯示等程序的編制及調(diào)試(匯編語言、C語言均可);4. 通過單片機(jī)系統(tǒng)的組裝,調(diào)試以及程序編制、調(diào)試及運(yùn)行,與 理論及實(shí)驗(yàn)的有機(jī)結(jié)合和指導(dǎo)教師的補(bǔ)充介紹,使學(xué)生掌握控制系統(tǒng) 的工作原理、開發(fā)方法和操作方法。5. 培養(yǎng)學(xué)生解決實(shí)際問題的水平,提升對(duì)理論知識(shí)的感性理解。二 實(shí)習(xí)意

2、義通過本實(shí)習(xí)不但能夠掌握單片機(jī)軟、硬件的綜合調(diào)試方法,而且 能夠熟練掌握電路原理圖,激發(fā)對(duì)單片機(jī)智能性的探索精神,提升學(xué) 生的綜合素質(zhì),培養(yǎng)學(xué)生應(yīng)用單片機(jī)實(shí)現(xiàn)對(duì)工業(yè)控制系統(tǒng)的設(shè)計(jì)、開 發(fā)與調(diào)試的水平。在制作學(xué)習(xí)過程中,不但能夠掌握軟、硬件的綜合 調(diào)試方法,而且能夠使學(xué)生對(duì)單片機(jī)智能性產(chǎn)生強(qiáng)烈的欲望。達(dá)到限 度地掌握微機(jī)應(yīng)用技術(shù),軟件及接口設(shè)計(jì)和數(shù)據(jù)采集與處理的技能, 培養(yǎng)電綜合實(shí)踐素質(zhì)的目的。三 系統(tǒng)基本組成及工作原理1 系統(tǒng)基本組成系統(tǒng)以單片機(jī)STC89C52乍為控制核心,各部分基本組成框圖如圖 1所示。流水燈部分由單片機(jī)、鍵盤模塊等組成 ; 四位數(shù)碼顯示,編程實(shí)現(xiàn) 30 秒倒計(jì)時(shí)部分由單片

3、機(jī)、鍵盤模塊、 液晶顯示模塊等組成 ;按鍵功能部分通過按鍵控制流水燈部分、四位數(shù)碼顯示部分 ; 電子鐘部分由單片機(jī)、鍵盤模塊、液晶顯示模塊等組成 ; 使用功能鍵實(shí)現(xiàn)相對(duì)應(yīng)的功能組合部分通過流水燈部分、 30 秒倒 計(jì)時(shí)部分實(shí)現(xiàn) ;模數(shù)轉(zhuǎn)換部分由單片機(jī)、ADC0809專換模塊、鍵盤模塊、液晶顯示 模塊等組成。2 系統(tǒng)工作原理本設(shè)計(jì)采用STC89C52R單片機(jī)作為本系統(tǒng)的控制模塊。單片機(jī)可 把由ADC0809及單片機(jī)中的數(shù)據(jù)利用軟件來實(shí)行處理,從而把數(shù)據(jù)傳 輸?shù)斤@示模塊,實(shí)現(xiàn)阻值大小的顯示。以數(shù)碼管顯示為顯示模塊,把 單片機(jī)傳來的數(shù)據(jù)顯示出來。在顯示電路中,主要靠按鍵來實(shí)現(xiàn)各種 顯示要求的選擇與切

4、換。對(duì)于模數(shù)轉(zhuǎn)換部分,單片機(jī) 89C51通過P0 口的I/O線向ADC0809 發(fā)送鎖存地址以及復(fù)位、啟動(dòng)轉(zhuǎn)換等信號(hào),并查詢轉(zhuǎn)換狀態(tài)。ADC0809啟動(dòng)轉(zhuǎn)換后,將0-8個(gè)通道一次輸入的電壓信號(hào)轉(zhuǎn)換成相對(duì)應(yīng) 的數(shù)字量,供89c51讀取使用,并且將EOC置1供單片機(jī)查詢轉(zhuǎn)換狀 態(tài)。而滑動(dòng)變阻器負(fù)責(zé)將阻值信號(hào)轉(zhuǎn)換成電壓信號(hào),再送到 ADC0809 的八個(gè)通道。當(dāng)單片機(jī)查詢到轉(zhuǎn)換結(jié)束后依次讀取數(shù)據(jù)并按照現(xiàn)實(shí)的 需要實(shí)行二進(jìn)制轉(zhuǎn)BCD碼等處理最后控制顯示電路顯示出數(shù)字。其實(shí)現(xiàn)方式是:ADC0809專換來自3通道的阻值變化信號(hào)。80c51的P2 口 與ADC0809勺輸出相連用于讀取轉(zhuǎn)換結(jié)果,同時(shí) P0.

5、0-P0.6作控制總 線,向ADC0809發(fā)送鎖存、啟動(dòng)等控制信息,并查詢 EOC狀態(tài)。ALE經(jīng) 分頻后給ADC0809提供時(shí)鐘信號(hào)。P3.0和P3.1 口用于向顯示電路輸出 段碼, P3.2-P3.7 用于數(shù)碼管的位選。四 系統(tǒng)硬件設(shè)計(jì) 結(jié)合本設(shè)計(jì)的要求和技術(shù)指標(biāo),通過對(duì)系統(tǒng)大致程序量的估計(jì)和系統(tǒng)工作的估計(jì),考慮價(jià)格因素。選定 AT89C51單片機(jī)作為系統(tǒng)的主 要控制芯片,8位模擬轉(zhuǎn)換器ADC080朕行阻值轉(zhuǎn)換。 逐次比較法 A/D轉(zhuǎn)換器是當(dāng)前種類最多、應(yīng)用最廣的 A/D轉(zhuǎn)換器,其原理即“逐位 比較”,其過程類似于用砝碼在天平上稱物體重量。它由N位寄存器、A/D轉(zhuǎn)換器、比較器和控制邏輯等部分

6、組成,N位寄存器代表N位二進(jìn)制碼。當(dāng)前應(yīng)用最廣的逐次比較法 A/D轉(zhuǎn)換器有ADC0809它是一 種8路模擬輸入8位數(shù)字輸出的逐次比較法 A/D轉(zhuǎn)換器件。其主要性 能指標(biāo)和特性如下:分表率: 8 位轉(zhuǎn)換時(shí)間:取決于芯片時(shí)鐘頻率,轉(zhuǎn)換一次時(shí)間位64 個(gè)時(shí)鐘周期單一電源: +5v模擬輸入電壓范圍:?jiǎn)螛O性 0-+5v;雙極性-5v-+5v具有可控三態(tài)輸出鎖存器 啟動(dòng)轉(zhuǎn)換控制位脈沖式,上升沿使內(nèi)部所有寄存器清零,下降沿使 A/D 轉(zhuǎn)換開始。通過以上性能比較,我們不難看出 ADC0809滿足本設(shè)計(jì)的要求,所以本設(shè)計(jì)采用ADC0809乍為A/D轉(zhuǎn)換器1 按鍵電路設(shè)計(jì)利用單片機(jī)的 P1 口擴(kuò)展一個(gè) 8位鍵盤。

7、2 晶振與復(fù)位電路設(shè)計(jì)本設(shè)計(jì)采用的是上電復(fù)位的形式,如圖 3.3 所示,上電順進(jìn) RST 獲得高電平,隨著電容器 C的充電,RST引腳上的高電平將逐漸下降, 只要高電平能保持復(fù)位所需要的兩個(gè)機(jī)器周期以上時(shí)間,單片機(jī)就能 實(shí)現(xiàn)復(fù)位操作。 晶振電路為單片機(jī)提供工作所需要的時(shí)鐘信號(hào)。震蕩 頻率越高,系統(tǒng)時(shí)鐘頻率也越高,單片機(jī)運(yùn)行的速度就越快。其電路 如圖3.4所示。89C51的XTAL1和XTAL2兩個(gè)引腳跨接晶體振蕩器和微 調(diào)電容C1、C2形成反饋電路,就構(gòu)成了穩(wěn)定的自激振蕩器,本設(shè)計(jì)的 震蕩器頻率為 12MHZ。3 下載電路設(shè)計(jì)4 流水燈模塊設(shè)計(jì)5 模數(shù)轉(zhuǎn)換模塊設(shè)計(jì)6 顯示電路設(shè)計(jì)本設(shè)計(jì)采用六位

8、數(shù)碼管。本系統(tǒng)采用共陽極動(dòng)態(tài)掃描的方式連 接。數(shù)碼管的段碼數(shù)據(jù)由 89C51的P3.0-P3.1 口送出,89C51的P3.2- P3.7 輸出位選通信號(hào),只有被選中的那位數(shù)碼管才會(huì)顯示段碼7 整體電路設(shè)計(jì)五 系統(tǒng)軟件設(shè)計(jì)1 主程序設(shè)計(jì)主程序采用分支結(jié)構(gòu),以狀態(tài)號(hào)標(biāo)識(shí)系統(tǒng)所處的狀態(tài)。在上電初 始化后即進(jìn)入狀態(tài)號(hào)的輪詢掃描,狀態(tài)號(hào)的值決定了分支程序的入 口。其中分支程序分別為:AD轉(zhuǎn)換模塊(狀態(tài)號(hào)為0),數(shù)字模塊狀態(tài) 號(hào)為 1),倒計(jì)時(shí)模塊 (狀態(tài)號(hào)為 2),電子鐘模塊 (狀態(tài)號(hào)為 3),功能組 合模塊 ( 狀態(tài)號(hào)為 4) ,流水燈模塊 ( 狀態(tài)號(hào)為 5) 。2 功能子程序設(shè)計(jì)2.1 流水燈模塊

9、流水燈模塊利用單片機(jī)的P3口,通過給P3口的各位送低電平, 相對(duì)應(yīng)的實(shí)現(xiàn)流水燈有規(guī)律的點(diǎn)亮。2.2 30 秒倒計(jì)時(shí)模塊30秒倒計(jì)時(shí)模塊利用單片機(jī)的 P3.0 與 P3.1 口送相對(duì)應(yīng)的段控?cái)?shù)據(jù), P3.2-P3.7 口送相對(duì)應(yīng)的位控?cái)?shù)據(jù)。通過程序?qū)崿F(xiàn) 30 秒倒計(jì)時(shí)。2.3 數(shù)字加減模塊 利用數(shù)碼管實(shí)現(xiàn)數(shù)字顯示,通過加一鍵或者是減一鍵實(shí)現(xiàn)數(shù)字變量的加一或者減一,進(jìn)而實(shí)現(xiàn)利用數(shù)碼管顯示加一鍵、減一鍵功能。2.4 電子鐘模塊利用數(shù)碼管實(shí)現(xiàn)時(shí)間顯示,通過加一鍵或者是減一鍵實(shí)現(xiàn)小時(shí)變量或者是分鐘變量的加一,從而實(shí)現(xiàn)調(diào)時(shí)功能。2.5 模數(shù)轉(zhuǎn)換模塊對(duì)于模數(shù)轉(zhuǎn)換部分,單片機(jī) 89C51通過P0 口的I/O線

10、向ADC0809 發(fā)送鎖存地址以及復(fù)位、啟動(dòng)轉(zhuǎn)換等信號(hào),并查詢轉(zhuǎn)換狀態(tài)。ADC0809啟動(dòng)轉(zhuǎn)換后,將0-8個(gè)通道一次輸入的電壓信號(hào)轉(zhuǎn)換成相對(duì)應(yīng) 的數(shù)字量,供89c51讀取使用,并且將EOC置1供單片機(jī)查詢轉(zhuǎn)換狀 態(tài)。而滑動(dòng)變阻器負(fù)責(zé)將阻值信號(hào)轉(zhuǎn)換成電壓信號(hào),再送到 ADC0809 的八個(gè)通道。當(dāng)單片機(jī)查詢到轉(zhuǎn)換結(jié)束后依次讀取數(shù)據(jù)并按照現(xiàn)實(shí)的 需要實(shí)行二進(jìn)制轉(zhuǎn)BCD碼等處理最后控制顯示電路顯示出數(shù)字。其實(shí)現(xiàn)方式是:ADC0809專換來自3通道的阻值變化信號(hào)。80c51的P2 口 與ADC0809勺輸出相連用于讀取轉(zhuǎn)換結(jié)果,同時(shí) P0.0-P0.6作控制總 線,向ADC0809發(fā)送鎖存、啟動(dòng)等控制

11、信息,并查詢 EOC狀態(tài)。ALE經(jīng) 分頻后給ADC0809提供時(shí)鐘信號(hào)。P3.0和P3.1 口用于向顯示電路輸出 段碼, P3.2-P3.7 用于數(shù)碼管的位選。六 實(shí)習(xí)總結(jié)、體會(huì)本次單片機(jī)實(shí)習(xí)我們一共完成了個(gè)模塊的程序設(shè)計(jì),包括: led 顯 示模塊、數(shù)碼管顯示模塊和鍵盤模塊。分別實(shí)現(xiàn)了流水燈的循環(huán)點(diǎn)亮 控制、數(shù)碼管的靜態(tài)和動(dòng)態(tài)計(jì)數(shù)顯示,還有矩陣鍵盤按鍵控制數(shù)碼管 顯示的程序設(shè)計(jì)。然后我們分別用 protues 系統(tǒng)仿真軟件對(duì)各個(gè)模塊 實(shí)行了模擬仿真,用 keil 軟件編制了匯編語言程序,驗(yàn)證了我們所設(shè) 計(jì)的程序。 這次實(shí)習(xí)還使我理解了編寫程序的一些技巧。單片機(jī)應(yīng)用 系統(tǒng)一般由包含多個(gè)模塊的主

12、程序和由各種子程序組成。每一模塊都 要完成一個(gè)明確的任務(wù),實(shí)現(xiàn)某個(gè)具體的功能,如計(jì)算、接受、發(fā) 送、延時(shí)、顯示等。采用模塊化程序設(shè)計(jì)方法,就是將這些具體功能 程序?qū)嵭歇?dú)立設(shè)計(jì)和分別調(diào)試,最后將這些模塊程序裝配成整體程序 并實(shí)行聯(lián)合調(diào)試。模塊化程序設(shè)計(jì)方法的優(yōu)點(diǎn):一個(gè)模塊能夠?yàn)槎鄠€(gè) 程序所共享 ; 單個(gè)功能明確的程序模塊的設(shè)計(jì)和調(diào)試比較方便,容易完 成 ; 利用已經(jīng)編好的成熟模塊,將大大縮短開發(fā)程序的時(shí)間,降低開發(fā) 成本。采用循環(huán)結(jié)構(gòu)和子程序結(jié)構(gòu)能夠使程序的容量大大減少,提升 程序的效率,節(jié)省內(nèi)存。對(duì)于多重循環(huán),要注意各重循環(huán)的初值和循 環(huán)結(jié)束的條件,避免出現(xiàn)程序無休止循環(huán)的“死循環(huán)”現(xiàn)象 ;

13、通過這 次的實(shí)習(xí)我發(fā)現(xiàn),只有理論水平提升了,才能夠?qū)⒄n本知識(shí)與實(shí)踐相 結(jié)合,理論知識(shí)服務(wù)于教學(xué)實(shí)踐,以增強(qiáng)自己的動(dòng)手水平。這次實(shí)習(xí) 十分有意義,這次實(shí)習(xí)我們知道了理論和實(shí)踐的距離,也知道了理論 和實(shí)踐相結(jié)合的重要性。 回顧起此次課程設(shè)計(jì),感覺受益匪淺,從拿 到題目到完成整個(gè)編程,從理論到實(shí)踐,學(xué)到很多很多的課堂理論中 沒學(xué)到過的東西,不但對(duì)鍵盤的識(shí)別技術(shù)這個(gè)章節(jié)的知識(shí)點(diǎn)有了深刻 的理解,而且對(duì)這學(xué)期開設(shè)的單片機(jī)這門課程有了更全面的了解,尤 其是在學(xué)習(xí)使用 proteus 軟件片編程和仿真時(shí)收獲良多。通過這次單 片機(jī)課程設(shè)計(jì),還使我懂得了實(shí)踐的重要性。同時(shí)在程序調(diào)試的過程 中提升自己的發(fā)現(xiàn)問題、

14、解決問題、實(shí)際動(dòng)手和獨(dú)立思考的水平。 這 次課程設(shè)計(jì)能順利的完成,除了我們的努力外,當(dāng)然也離不開指導(dǎo)老 師申老師的辛勤指導(dǎo),致使我在設(shè)計(jì)的過程中學(xué)到了很多實(shí)用性的知 識(shí)。同時(shí),對(duì)給過我協(xié)助的所有同學(xué)和各位指導(dǎo)老師表示忠心的感謝 !單片機(jī)實(shí)習(xí)報(bào)告【二】通過今次單片機(jī)實(shí)訓(xùn),使我對(duì)單片機(jī)的理解有了更深刻的理解。系統(tǒng)以 51 單片機(jī)為核心部件,利用匯編軟件編程,通過鍵盤控制和數(shù) 碼管顯示實(shí)現(xiàn)了基本時(shí)鐘顯示功能、時(shí)間調(diào)節(jié)功能,能實(shí)現(xiàn)本設(shè)計(jì)題 目的基本要求和發(fā)揮部分。因?yàn)闀r(shí)間有限和本身知識(shí)水平的限制,本系統(tǒng)還存有一些不夠完 善的地方,要作為實(shí)際應(yīng)用還有一些具體細(xì)節(jié)問題需要解決。例如: 不能實(shí)現(xiàn)只用兩個(gè)按鍵

15、來控制時(shí)鐘時(shí)間,還不能實(shí)現(xiàn)鬧鐘等擴(kuò)展功 能。踉踉蹌蹌地忙碌了兩周,我的時(shí)鐘程序終于編譯成功。當(dāng)看著自 己的程序,自己成天相伴的系統(tǒng)能夠健康的運(yùn)行,真是莫大的幸福和 欣慰。我相信其中的酸甜苦辣最終都會(huì)化為甜美的甘泉。但在這次實(shí)訓(xùn)中同時(shí)使我對(duì)匯編語言有了更深的理解。當(dāng)我第一 次接觸匯編語言就感覺很難,特別是今次實(shí)訓(xùn)要用到匯編語言,即使 困難重重,可我們還是克服了。這次的實(shí)訓(xùn)使培養(yǎng)了我們嚴(yán)肅認(rèn)真的 做事作風(fēng),增強(qiáng)了我們之間的團(tuán)隊(duì)合作水平,使我們理解到了團(tuán)隊(duì)合 作精神的重要性。這次實(shí)訓(xùn)的經(jīng)歷也會(huì)使我終身受益,我感受到這次實(shí)訓(xùn)是要真真 正正用心去做的一件事情,是真正的自己學(xué)習(xí)的過程和研究的過程, 沒有學(xué)

16、習(xí)就不可能有研究的水平,沒有自己的研究,就不會(huì)有所突 破。希望這次的經(jīng)歷能讓我在以后學(xué)習(xí)中激勵(lì)我繼續(xù)進(jìn)步。單片機(jī)實(shí)習(xí)報(bào)告【三】通過這個(gè)個(gè)學(xué)期的單片機(jī)學(xué)習(xí),我收獲了很多關(guān)于單片機(jī)的知 識(shí),并且這些知識(shí)和日常的生活息息相關(guān)。了解了一些簡(jiǎn)單程序的錄 入,LED顯示器、鍵盤、和顯示器的應(yīng)用和原理。LED顯示器:LED顯示器是由發(fā)光二管組成顯示字段的器件。通常 的8段LED顯示器是由8個(gè)發(fā)光二極管組成,LED顯示器分共陽極和共 陰極兩種。有段選碼和和位選碼。當(dāng) LED顯示器每段的平均電流位5MA時(shí),就有較滿意的亮度,一般選擇斷碼 5-10MA電流;位線的電流應(yīng)選 擇40-80MA LED顯示器的顯示方式

17、有動(dòng)態(tài)和靜態(tài)兩種。7289A芯片是 具有SPI串行接口功能的顯示鍵盤控制芯片,它可同時(shí)取得8位共陰極數(shù)碼管和64個(gè)鍵的鍵盤矩陣。7289A的控制指令分為兩類:8位寬 度的單字節(jié)指令和 1 6位寬度雙字節(jié)指令 ; 還有閃爍指令和消隱指令。 7289A采用串行方式SPI總線與微處理器通信;7289A與AT89C52接 口 電路,在實(shí)際電路中無論接不接鍵盤,電路中連接到其各段上的 8 個(gè) 100 千歐的下拉電阻均不能夠省去,如果不接鍵盤而只接顯示器能夠省 去8個(gè)10千歐電阻,若僅接鍵盤而不接顯示器,可省去串入DP及SA-SG連線的8個(gè)220歐電阻,7289A還需要外接晶體振蕩電路。液晶顯 示器簡(jiǎn)稱L

18、CD其顯示原理是用經(jīng)過處后的液晶具有能改變光線傳輸方 向的特性,達(dá)到顯示字符和圖形的目的。最簡(jiǎn)單的筆段式液晶顯示器 類似于LCD顯示器,能夠顯示簡(jiǎn)單的字符和數(shù)字,而當(dāng)前大量使用的 是點(diǎn)陣式LCD顯示器,既能夠顯示字符和數(shù)字也能夠顯示漢字和圖 形。如果把LCD顯示屏、背光可變電源、接口控制邏輯、驅(qū)動(dòng)集成芯 片等部件構(gòu)成一個(gè)整體,是的與 CPL接 口十分方便。鍵盤:鍵盤是最常見的計(jì)算機(jī)輸入設(shè)備,它廣泛應(yīng)用于微型計(jì)算 機(jī)和各種終端設(shè)備上。計(jì)算機(jī)操作者通過鍵盤向計(jì)算機(jī)輸入各種指 令、數(shù)據(jù),指揮計(jì)算機(jī)的工作。按照鍵盤的工作原理和按鍵方式的不 同,能夠劃分為四種:機(jī)械式鍵盤采用類似金屬接觸式開關(guān),工作原

19、理是使觸點(diǎn)導(dǎo)通或斷開,具有工藝簡(jiǎn)單、噪音大、易維護(hù)的特點(diǎn)。 塑 料薄膜式鍵盤鍵盤內(nèi)部共分四層,實(shí)現(xiàn)了無機(jī)械磨損。其特點(diǎn)是低價(jià) 格、低噪音和低成本,已占領(lǐng)市場(chǎng)絕絕大部分份額。 導(dǎo)電橡膠式鍵盤 觸點(diǎn)的結(jié)構(gòu)是通過導(dǎo)電橡膠相連。鍵盤內(nèi)部有一層凸起帶電的導(dǎo)電橡 膠,每個(gè)按鍵都對(duì)應(yīng)一個(gè)凸起,按下時(shí)把下面的觸點(diǎn)接通。這種類型 鍵盤是市場(chǎng)由機(jī)械鍵盤向薄膜鍵盤的過渡產(chǎn)品。 無接點(diǎn)靜電電容式鍵 盤使用類似電容式開關(guān)的原理,通過按鍵時(shí)改變電極間的距離引起電 容容量改變從而驅(qū)動(dòng)編碼器。特點(diǎn)是無磨損且密封性較好。按照按鍵方式的不同鍵盤可分為接觸式和無觸點(diǎn)式兩類。接觸式 鍵盤就是我們通常所說的機(jī)械式鍵盤,它又分為普通觸點(diǎn)

20、式和干簧 式。普通觸點(diǎn)式的兩個(gè)觸點(diǎn)直接接觸,從而使電路閉合,產(chǎn)生信號(hào) ; 而 干簧式鍵盤則是在觸點(diǎn)間加裝磁鐵,當(dāng)鍵按下時(shí),依靠磁力使觸點(diǎn)接 觸,電路閉合。與普通觸點(diǎn)式鍵盤相比,干簧式鍵盤具有響應(yīng)速度 快、使用壽命長(zhǎng)、觸點(diǎn)不易氧化等優(yōu)點(diǎn)。無觸點(diǎn)式鍵盤又分為電容 式、霍爾式和觸摸式三種。其中電容式是我們最常用到的鍵盤類型, 它的觸點(diǎn)之間并非直接接觸,而是當(dāng)按鍵按下時(shí),在觸點(diǎn)之間形成兩 個(gè)串聯(lián)的平板電容,從而使脈沖信號(hào)通過,其效果與接觸式是等同 的。電容式鍵盤擊鍵時(shí)無噪聲,響應(yīng)速度快,但是價(jià)格很高一些。顯示器:按照顯示器的顯示管分類 CRT LCD。按顯示色彩分類單 色顯示器、彩色顯示器。按大小分類通常有 14寸、 15寸、 17 寸和 19 寸,或者更大。顯示管的屏幕上涂有一層熒光粉,電子槍發(fā)射出的電 子擊打在屏幕上,使被擊打位置的熒光粉發(fā)光,從而產(chǎn)生了圖像,每 一個(gè)發(fā)光點(diǎn)又由“紅”“綠”

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論